17
CHAPITRE IV : CIRCUITS LOGIQUES SEQENTIELS BY PaTRICK JUVeT gNeTCHeJO: P.L.e.T IN eLeCTRONICS Page 30 CHAPITRE IV : CIRCUITS LOGIQUES SEQENTIELS 1. INTRODUCTION Un circuit combinatoire est un circuit numérique dont les sorties dépendent uniquement des entrées: = (). L’état du système ne dépend pas de l’état interne du système ; Pas de mémoration de l’état du système. Les circuits logiques combinatoires ne suffisent pas à eux seuls à la manipulation de l’information comme cela se fait dans les systèmes numériques modernes. Le caractère figé des circuits combinatoires, que traduit la correspondance stricte entre les entrées et les sorties, limite considérablement le champ de leurs applications. C’est là que les circuits séquentiels prennent toute leur importance. Ces derniers permettent la mise au point de systèmes dont le fonctionnement dépend non plus seulement des entrées reçues, mais également des informations traitées précédemment dans le cours de leur fonctionnement. 2. LES CIRCUITS SEQUENTIELS Un circuit logique séquentiel est un circuit logique possédant des entrées et des sorties et présentant un comportement où les sorties ne dépendent pas seulement des entrées, mais également des séquences des entrées passées. L’état à l’instant t+1 est une fonction des entrées en même instant t+1 et de l’état précédente du système (l’instant t) : = (, ) 3. SYSTEME SYNCHRONE ET ASYNCHRONES (notion de l’horloge) Une horloge est une variable logique qui passe successivement de 0 à 1 et de 1 à 0 d’une façon périodique. Cette variable est utilisée souvent comme une entrée des circuits séquentiels : le circuit est dit synchrone. L’horloge est notée par h ou clk (clock). Figure 4.1 : Circuit logique séquentiel Figure 4.2 : Circuit séquentiel synchrone

Chap 4 Les Circuits Sequentiels

Embed Size (px)

DESCRIPTION

Les Circuits Sequentiels ; bascules , compteurs, decompteur, registres...

Citation preview

Page 1: Chap 4 Les Circuits Sequentiels

CHAPITRE IV : CIRCUITS LOGIQUES SEQENTIELS

BY PaTRICK JUVeT gNeTCHeJO: P.L.e.T IN eLeCTRONICS Page 30

CHAPITRE IV : CIRCUITS LOGIQUES SEQENTIELS

1. INTRODUCTION

Un circuit combinatoire est un circuit numérique dont les sorties dépendent uniquement des

entrées: � = �(�).

L’état du système ne dépend pas de l’état interne du système ; Pas de mémoration de l’état du

système.

Les circuits logiques combinatoires ne suffisent pas à eux seuls à la manipulation de

l’information comme cela se fait dans les systèmes numériques modernes. Le caractère figé des

circuits combinatoires, que traduit la correspondance stricte entre les entrées et les sorties, limite

considérablement le champ de leurs applications. C’est là que les circuits séquentiels prennent

toute leur importance. Ces derniers permettent la mise au point de systèmes dont le

fonctionnement dépend non plus seulement des entrées reçues, mais également des informations

traitées précédemment dans le cours de leur fonctionnement.

2. LES CIRCUITS SEQUENTIELS

Un circuit logique séquentiel est un circuit logique possédant des entrées et des sorties et

présentant un comportement où les sorties ne dépendent pas seulement des entrées, mais

également des séquences des entrées passées.

L’état à l’instant t+1 est une fonction des entrées en même instant t+1 et de l’état précédente du

système (l’instant t) : ���� = �(�, ��)

3. SYSTEME SYNCHRONE ET ASYNCHRONES (notion de l’horloge)

Une horloge est une variable logique qui passe successivement de 0 à 1 et de 1 à 0 d’une façon

périodique. Cette variable est utilisée souvent comme une entrée des circuits séquentiels : le

circuit est dit synchrone.

L’horloge est notée par h ou clk (clock).

Figure 4.1 : Circuit logique séquentiel

Figure 4.2 : Circuit séquentiel synchrone

Page 2: Chap 4 Les Circuits Sequentiels

CHAPITRE IV : CIRCUITS LOGIQUES SEQENTIELS

BY PaTRICK JUVeT gNeTCHeJO: P.L.e.T IN eLeCTRONICS Page 31

L’horloge

Les systèmes Asynchrones

Lorsqu’un circuit séquentiel n’a pas d’horloge comme variable d’entrée ou si le circuit

fonctionne indépendamment de cette horloge alors ce circuit est asynchrone.

4. LES BASCULES (flip-flops)

Les bascules sont les circuits de bases de la logique séquentiel ; elles peuvent posséder une

horloge (synchrone) ou non (asynchrone). Chaque bascule possède des entrées et deux sorties :�

et �� .Une bascule possède la fonction de mémoration et de basculement.

Il existe plusieurs types de bascules :T ,RS, RST ,D ,JK

4.1.Bascule RS (Reset, Set)

Le circuit bistable RS est un circuit logique à rétroaction simple permettant d’enregistrer un

bit. Le nom SR vient du fait que la bistable possède deux entrées, S et R, renvoyant

respectivement à Set et à Reset. Lorsque l’entrée S est à 1, le circuit enregistre un 1 à sa sortie Q.

Lorsque l’entrée R passe à 1, le circuit est réinitialisé et il enregistre 0 à sa sortie Q. Cela n’est

possible que si S et R ne valent pas 1 en même temps. Si S et R valent tous deux 0, le système est

stable et mémorise la dernière valeur enregistrée.

Figure 4. 4 : Circuit séquentiel asynchrone

Figure 4. 3 : Exemple de signal d’horloge

Figure 4. 5 : symbole d’une Bascule

Page 3: Chap 4 Les Circuits Sequentiels

CHAPITRE IV : CIRCUITS LOGIQUES SEQENTIELS

BY PaTRICK JUVeT gNeTCHeJO: P.L.e.T IN eLeCTRONICS Page 32

4.2.Bascule RS synchrone

La bascule RSH est une bascule RS synchronisée par un signal d’horloge H. Lorsque H

est au niveau bas, la bascule fonctionne comme une mémoire, et lorsque H est au niveau haut, la

bascule fonctionne comme une bascule RS classique, et conserve donc les états interdits pour

R=S=1.

Exemple

S R Qt Q+

0 0 0

0 0 1

0 1 0

0 1 1

1 0 0

1 0 1

1 1 0

1 1 1

S R Q+

0 0 mémorisation

0 1 Mise à 0

1 0 Mise à 1

1 1 Interdit

a) SYMBOLE b) CIRCUIT INTERNE

c) TABLE DE VERITE

d) DIAGRAMME TEMPOREL

S R H Qt+1

X X 0

0 0 1

0 1 1

1 0 1

1 1 X

a) Symbole b) Table de vérité

Page 4: Chap 4 Les Circuits Sequentiels

CHAPITRE IV : CIRCUITS LOGIQUES SEQENTIELS

BY PaTRICK JUVeT gNeTCHeJO: P.L.e.T IN eLeCTRONICS Page 33

4.3.Bascule JK

Les bascules JK sont des bascules maître-esclave fonctionnant seulement en mode synchrone.

Elles sont plus polyvalentes que les basculent RS, car elles n’ont pas d’état ambigu. Sachant que

les sorties sont toujours complémentaires, leur rebouclage sur les entrées élimine l’état interdit. Il

n’y a pas d’inconvénient à ce rebouclage car les sorties de l’esclave ne change d’état que lorsque

le maître est bloqué. Les bascules JK sont très courantes dans les systèmes numériques.

4.4.Bascule D

La bascule D est une bascule maître-esclave conçue sur le même principe que la JK. La bascule

D est une bascule n’ayant qu’une seule entrée nommée D.

5. LES REGISTRES

5.1.Définition

Un registre est un circuit constitué de n bascules synchronisées permettant de stocker

temporairement un mot binaire de n bits en vue de son transfert dans un autre circuit (pour

traitement, affichage, mémorisation, etc.).

5.2. Registre de mémorisation : écriture et lecture parallèles

Tous les bits du mot à traiter sont écrits (entrée écriture E=1), ou lus, (entrée lecture

L=1), simultanément.

a) Symbol b) Table de vérité

a) Symbole b) Table de vérité

Figure 4.6: stockage en parallèle et transfert en parallèle d’un mot de 4 bits

Page 5: Chap 4 Les Circuits Sequentiels

CHAPITRE IV : CIRCUITS LOGIQUES SEQENTIELS

BY PaTRICK JUVeT gNeTCHeJO: P.L.e.T IN eLeCTRONICS Page 34

5.3.Registres à décalage

Comme son nom l’indique, un registre à décalage consiste à décaler bit par bit un mot

binaire soit vers la gauche, soit vers la droite. Le registre à décalage peut être à écriture et à

lecture série ou parallèle.

5.3.1. Registre à écriture série et lecture série

Après 4 pulsations de CLK, les 4 bits sont entrés dans le registre. Après 4 autres cycles

d’horloge, les 4 bits sont déplacés vers la sortie. Leur application est essentiellement le calcul

arithmétique binaire. CLK est alors l’entrée de décalage.

5.3.2. Registre à écriture série et lecture parallèle

Lorsque l’entrée est stockée, chaque bit apparaît simultanément sur les lignes de sortie. Le

registre à décalage est utilisé comme convertisseur série parallèle. Il est nécessaire à la réception

lors d’une transmission série.

5.3.3. Registre à écriture parallèle et lecture série

Utilisé comme convertisseur parallèle-série, il est nécessaire à l’émission lors d’une transmission

série.

Figure 4.7: Registre à écriture parallèle et lecture série

Page 6: Chap 4 Les Circuits Sequentiels

CHAPITRE IV : CIRCUITS LOGIQUES SEQENTIELS

BY PaTRICK JUVeT gNeTCHeJO: P.L.e.T IN eLeCTRONICS Page 35

5.4.Registre universel

Le registre universel permet quatre modes de fonctionnement commandés par deux

variables S1 et S2.

6. LES COMPTEURS

Un compteur est un circuit séquentiel comportant n bascules décrivant au rythme d’une

horloge un cycle de comptage régulier ou quelconque d’un maximum de 2�combinaisons.

La combinaison de sortie d’un compteur est appelé état, et le nombre d’états possibles

d’un compteur est appelé modulo.

6.1.Compteur asynchrone (à propagation)

En cascadant des bascules JK montées en diviseurs de fréquence, on peut donc réaliser un

compteur dont le modulo dépendra du nombre de bascules.

6.1.1. Compteur asynchrone à cycle régulier

Exemple d’un Compteur asynchrone à 4 bits (compte de 0 à 15)

La sortie de chaque bascule agit comme le signal d’horloge de la suivante.

a. Fonctionnement

– J=K=1 ; toutes les bascules commutent sur des fronts descendants ;

– la bascule A commute à chaque front descendant du signal d’horloge ;

– la sortie de la bascule 1 sert d’horloge pour la bascule 2 → B commute chaque fois que A

passe de 1 à 0 ;

– de la même manière, C commute lorsque B passe de 1 à 0, et D commute lorsque C passe de 1

à 0.

a) Table de fonctionnement

b) Circuit intégré

Page 7: Chap 4 Les Circuits Sequentiels

CHAPITRE IV : CIRCUITS LOGIQUES SEQENTIELS

BY PaTRICK JUVeT gNeTCHeJO: P.L.e.T IN eLeCTRONICS Page 36

b. Table d’implication séquentielle

c. Chronogrammes

Remarque : chaque bascule divise par deux la fréquence d’horloge qui alimente son entrée CLK :

�� =��������

��

Exemple d’application 1: Concevez un compteur asynchrone modulo 8.calculez la fréquence à

la sortie de la dernière bascule si la fréquence du signal d’horloge est de 3kHz. Faite les

chronogrammes du compteur.

6.1.2. Décompteurs asynchrones

Il suffit de piloter chaque entrée CLK des bascules au moyen de la sortie complémentée de la

bascule précédente.

Exemple : décompteur modulo 8

Si on imagine que DCBA représente un nombre binaire, le

compteur réalise la suite des nombres binaires allant de 0000

à 1111(soit de 0 à 15). Après la 15ème impulsion, les bascules

sont dans la condition 1111. Quand la 16ème impulsion

arrive, le compteur affiche 0000 : un nouveau cycle

commence.

Page 8: Chap 4 Les Circuits Sequentiels

CHAPITRE IV : CIRCUITS LOGIQUES SEQENTIELS

BY PaTRICK JUVeT gNeTCHeJO: P.L.e.T IN eLeCTRONICS Page 37

Chronogramme

6.1.3. Compteur asynchrone à modulo � < ��(à cycle régulier)

Pour réaliser un compteur ou un décompteur dont le cycle n’est pas une puissance de

2, la seule solution est d’agir sur l’entrée « Clear » lorsque la combinaison correspondant au

modulo du compteur se produit sur les sorties de celui-ci. Ainsi, pour2��� < � < 2�, on réalise

un compteur modulo 2n (avec n bascules), puis on raccourcit le cycle en jouant sur les entrées

RAZ des bascules.

Exemple : Compteur asynchrone modulo 6 :2� < 6 < 2�

→ on réalise un compteur modulo 3 avec 3 bascules, et on ramène le compteur à 000 dès que

������ = 110

→ dès que la sortie de la porte NAND passe à 0, les bascules sont forcées à 0 : le compteur se

remet à compter à partir de 0.

⇒ le compteur réalisé compte de 000 à 101 (de 0 à 5) puis recommence un nouveau cycle

Exemple d’application 2: Concevez un compteur asynchrone décimal. Calculez la fréquence à

la sortie de la dernière bascule si la fréquence du signal d’horloge est de 3kHz. Faite les

chronogrammes du compteur.

Page 9: Chap 4 Les Circuits Sequentiels

CHAPITRE IV : CIRCUITS LOGIQUES SEQENTIELS

BY PaTRICK JUVeT gNeTCHeJO: P.L.e.T IN eLeCTRONICS Page 38

6.1.4. Exemple de CI

Il existe de nombreuses puces en technologies TTL et CMOS. Parmi les plus populaires

on trouve en TTL le 7493 qui est un compteur 4 bits, et en CMOS le 4024 qui est un compteur 7

bits.

6.2.Compteur Synchrone (parallèle)

Toutes les bascules sont déclenchées en même temps par le même signal d’horloge. Ceci

évite le problème du retard de propagation.

6.2.1. Table de transition d’une bascule JK

On connait les valeurs des sorties, comment déterminer les valeurs des entrées JK ?

Q Q+ J K 0 0 0 1 1 0 1 1

6.2.2. Etude des compteurs synchrones modulo ��

Exemple1 : réalisation d’un compteur modulo 8 (bascule JK) : n=3 ; toutes les bascules

possèdent la même horloge. Pour réaliser le compteur, il faut déterminer les équations des Ji et

Ki ?

MR → Master Reset.

Page 10: Chap 4 Les Circuits Sequentiels

CHAPITRE IV : CIRCUITS LOGIQUES SEQENTIELS

BY PaTRICK JUVeT gNeTCHeJO: P.L.e.T IN eLeCTRONICS Page 39

D’après la table de vérité on trouve :

J0=K0=1 , J1=K1=Q0 , J2=K2=Q0.Q1

Exemple2 : réalisation compteur modulo 8 (bascule D) :

Q2 Q1 Q0 Q2+ Q1+ Q0+ D2 D1 D0

Page 11: Chap 4 Les Circuits Sequentiels

CHAPITRE IV : CIRCUITS LOGIQUES SEQENTIELS

BY PaTRICK JUVeT gNeTCHeJO: P.L.e.T IN eLeCTRONICS Page 40

Exemple d’application 3: Concevez un compteur synchrone décimal à bascules JK.

6.2.3. Compteur synchrone à cycle quelconque

Soit le compteur ayant le cycle suivant

Pour forcer le compteur d’un état à un autre il faut agir sur les entrées synchrones Ji et

Ki ;Pour les états qui n’appartiennent pas au cycle du compteur, il faut les considérer comme

étant des états indéterminés ( Ji=X et Ki=X).

Page 12: Chap 4 Les Circuits Sequentiels

CHAPITRE IV : CIRCUITS LOGIQUES SEQENTIELS

BY PaTRICK JUVeT gNeTCHeJO: P.L.e.T IN eLeCTRONICS Page 41

Exemple d’application 4 : Concevez un compteur décompteur pair synchrone modulo 16 à

bascule JK.

Page 13: Chap 4 Les Circuits Sequentiels

CHAPITRE IV : CIRCUITS LOGIQUES SEQENTIELS

BY PaTRICK JUVeT gNeTCHeJO: P.L.e.T IN eLeCTRONICS Page 42

7. EXERCICE

Exercice 1 :

Soit le montage de la figure 1

Exercice 2 :

2.1. Supposez que Q=0 au début. Appliquez les formes d’onde x et y de la figure 2 au entrées A

et B d’une mémoire en PORTES NON-ET (figure 1) et déterminez les formes d’onde de �����

2.2. Intervertissez les formes d’ondes de la figure 2, puis appliquez – les aux entrées R et S d’une

mémoire en PORTES NI ; déterminez les formes d’onde de�����. Supposez que Q=0 au

départ.

2.3. Les formes d’ondes de la figure 2 sont appliquées au circuit de la figure 3. Supposez que

Q=0 au départ ; déterminez les formes d’onde de�.

Exercice 3 :

3.1. Une bascule de commutation n’a qu’une entrée et sa sortie change d’état à chaque fois

qu’une impulsion arrive sur son entrée. Il est possible de câbler une bascule S-C synchrone pour

qu’elle fonctionne en mode de commutation, voir figure 4. La forme d’onde appliquée à l’entrée

CLK est celle d’une onde carrée de 1Khz.

a) Assurez-vous que ce montage fonctionne comme une bascule de commutation, puis

tracez la forme d’onde de la sortie Q. Supposez que Q=0 au départ.

b) Montrez comment il est possible d’utiliser une Bascule J-K comme bascule de

commutation. Appliquez une onde carrée de 10Khz à l’entrée et tracez la forme d’onde de sortie.

c) Connectez la sortie Q de cette bascule (de commutation JK) à l’entrée CLK d’une

seconde bascule ayant également J=K=1. Trouvez la fréquence de la forme d’onde de sortie de

cette bascule.

3.2. Soit les deux bascules JK ci-dessous :

a) Donnez sa table de vérité.

b) Quel circuit logique reconnaissez-vous ?

c) Remplissez le chronogramme suivant :

Figure 1

Figure 2 Figure 3

Figure 4

Page 14: Chap 4 Les Circuits Sequentiels

CHAPITRE IV : CIRCUITS LOGIQUES SEQENTIELS

BY PaTRICK JUVeT gNeTCHeJO: P.L.e.T IN eLeCTRONICS Page 43

a) Rappelez la table de vérité d’une bascule JK synchronisée sur front montant.

b) Remplissez le chronogramme suivant :

3.3. Soit le montage ci-dessous :

Remplissez le chronogramme suivant :

Exercice 4 :

4.1. Dessinez le schéma d’un circuit qui assure le transfert parallèle synchrone des données entre

un registre de 3 bits et une autre formé de bascules J-K.

4.2. a) Indiquez combien il faut de bascules pour construire un compteur binaire dont l’intervalle

de comptage va de 0 à 1023

b) Calculez la fréquence du signal de sortie de la dernière bascule de ce compteur si la

fréquence du signal d’entrée est 2Mhz.

c) Dites quel est le modulo de ce compteur.

d) Si le compteur est à zéro au début, quel nombre contient-il après 2060 impulsions

4.3. Un compteur est synchronisé à un signal d’horloge de 256Khz ; la fréquence de la sortie de

la dernière bascule est de 2Khz.

a) Trouvez le modulo

b) Indiquez l’intervalle de comptage

Exercice 5 :

5.1. Une onde carrée de 8Mhz synchronise un compteur à propagation de 5bits.

a) Quel est la fréquence du signal à la dernière bascule ?

b) supposant que ce compteur a comme état initial 00000, quel sera son contenu après l44

impulsions d’entrée

5.2. Réalisez un compteur asynchrone modulo 24 à bascule J-K et tracez les chronogrammes des

sorties.

5.3. a) Dessinez le schéma d’un décompteur MODULO 16

b) Construisez le graphe des états.

Page 15: Chap 4 Les Circuits Sequentiels

CHAPITRE IV : CIRCUITS LOGIQUES SEQENTIELS

BY PaTRICK JUVeT gNeTCHeJO: P.L.e.T IN eLeCTRONICS Page 44

c) Si le nombre initial du compteur est l’état du compteur 0110, quel est l’état du compteur

après la 37 impulsions ?

Exercice 6 :

6.1. Tracez le schéma du circuit d’un compteur parallèle Modulo-64

6.2. La figure 5 reproduit un compteur parallèle de 4 bits conçu de façons à ne pas passer toute la

suite des 16 états binaires possibles. Analysez son fonctionnement en traçant les formes d’ondes

pour chaque sortie des bascules. Ensuite, déterminez la suite des nombres de ce compteur.

Toutes les bascules sont à zéro au départ.

Exercice 7 :

On souhaite réaliser, en un seul circuit, un compteur/décompteur asynchrone modulo 16.

Ce montage devra posséder deux modes de fonctionnement : un mode compteur et un mode

décompteur. La sélection du mode s’effectuera à l'aide d'une entrée S qui respectera les

conditions suivantes :

• S = 0 → mode compteur ;

• S = 1 → mode décompteur.

Vous avez à votre disposition quatre bascules JK et toutes les portes logiques nécessaires. Les

bascules sont synchronisées sur front montant et possèdent une entrée de reset asynchrone active

à l’état haut.

a). Donnez le schéma de câblage du compteur/décompteur.

b). Ajoutez un interrupteur automatique de remise à zéro à l’allumage.

c). Ajoutez un interrupteur manuel de remise à zéro.

d). Que suffit-il de faire pour remplacer les bascules JK par des bascules D ?

Exercice 8 :

La figure 6 montre comment un décompteur préréglable peut être utilisé comme minuterie

programmable. La fréquence du signal d’horloge est précisément 1Hz ; cette fréquence est

dérivée de la fréquence de 60 Hz du secteur qu’on divise par 60. Les interrupteurs S1 – S4

servent à installer dans le compteur un nombre de départ voulu lorsqu’une impulsion

momentanée est appliquée à ������. Le fonctionnement de la minuterie est lancé en appuyant sur

l’interrupteur à bouton poussoir Start. La bascule Z sert à éliminer les rebonds de l’interrupteur

Figure 5

Page 16: Chap 4 Les Circuits Sequentiels

CHAPITRE IV : CIRCUITS LOGIQUES SEQENTIELS

BY PaTRICK JUVeT gNeTCHeJO: P.L.e.T IN eLeCTRONICS Page 45

Start. Le monostable produit une impulsion très étroite appliqué à l’entrée������. La sortie de la

bascule X est une forme d’onde qui reste à 1 durant un nombre de secondes égal au nombre

installé par les interrupteurs.

a). Supposez que toutes les bascules et que le compteur sont à 0. Analyser et expliquer le

fonctionnement du circuit, si nécessaire au moyen de formes d’ondes, pour le cas S1 et S4 = 0 et

S2 et S3 = 1. Assurez-vous de bien expliquez le rôle de la bascule X.

b). Dites pourquoi la sortie de la minuterie ne peut être prélevée à la sortie �������� .

c). Dites pourquoi on ne peut utiliser l’interrupteur Start pour déclencher directement le

monostable.

d). Dites ce qui ce passe si l’interrupteur Start est enfoncé trop longtemps. Ajoutez les

circuits logiques nécessaires qui font en sorte que l’interrupteur Start enfoncé trop longtemps n’a

plus d’effet sur la minuterie.

Exercice 9 :

Soit le montage ci-dessous :

Figure 6

Page 17: Chap 4 Les Circuits Sequentiels

CHAPITRE IV : CIRCUITS LOGIQUES SEQENTIELS

BY PaTRICK JUVeT gNeTCHeJO: P.L.e.T IN eLeCTRONICS Page 46

a). Remplissez le chronogramme suivant si l’entrée E vaut zéro :

b). Que réalise ce montage ?

Exercice 10 :

a) Remplissez la table des transitions d’une bascule JK.

Dans un premier temps, on désire réaliser un compteur synchrone modulo 7 à l’aide de bascules

JK synchronisées sur front montant.

b) À l’aide de la table des transitions, remplissez le tableau ci-dessous :

c) Donnez les équations des entrées J0, K0, J1, K1, J2et K2.

d) Dessinez le schéma de câblage.

On désire maintenant réaliser un compteur synchrone, modulo 8 en code Gray, à l’aide de

bascules JK synchronisées sur front descendant.

e) Remplissez le tableau ci-dessous :

f) Donnez les équations des entrées J0, K0, J1, K1, J2et K2.