37
Chapitre 3 Formation du siliciure de nickel Ce troisième chapitre présente les études de bases réalisées sur le siliciure de nickel. Celles-ci visent à en dégager les principales propriétés et à identifier les paramètres clés (bilan thermique, cristallinité, couche d’encapsulation) pouvant influer le procédé de siliciuration totale d’un dispositif. Dans un premier temps, nous avons réalisé les courbes de transformation du siliciure de nickel et avons étudié les influences de la couche d’encapsulation TiN et du type de recuit utilisé pour la siliciuration. Nous nous sommes aussi intéressés aux différentes phases en présence dans la grille en poly-Si et dans les régions de source et de drain en mono-Si. Dans ce chapitre, nous avons également réalisé des mesures de la contrainte induite par des couches de silicium (mono- ou poly- crystallin) totalement siliciurées ainsi que des études de cinétique afin d’évaluer les temps de recuit minimum pour siliciurer totalement la grille. 127

Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

Embed Size (px)

Citation preview

Page 1: Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

Chapitre 3

Formation du siliciure de nickel

Ce troisième chapitre présente les études de bases réalisées sur le siliciure de nickel. Celles-ci

visent à en dégager les principales propriétés et à identifier les paramètres clés (bilan thermique, cristallinité, couche d’encapsulation) pouvant influer le procédé de siliciuration totale d’un dispositif.

Dans un premier temps, nous avons réalisé les courbes de transformation du siliciure de nickel et avons étudié les influences de la couche d’encapsulation TiN et du type de recuit utilisé pour la siliciuration. Nous nous sommes aussi intéressés aux différentes phases en présence dans la grille en poly-Si et dans les régions de source et de drain en mono-Si. Dans ce chapitre, nous avons également réalisé des mesures de la contrainte induite par des couches de silicium (mono- ou poly-crystallin) totalement siliciurées ainsi que des études de cinétique afin d’évaluer les temps de recuit minimum pour siliciurer totalement la grille.

127

Page 2: Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

_______________________________________________________________________________

Chapitre 3 Formation du siliciure de nickel _______________________________________ 127

1.1 Courbes de transformation___________________________________________________129 1.1.1 Influence du capping TiN ________________________________________________131 1.1.2 Influence du type de recuit _______________________________________________132

1.2 Formation séquentielle des siliciures ___________________________________________133 1.2.1 Influence du recuit______________________________________________________133 1.2.2 Influence du ratio nickel/silicium poly-cristallin: ______________________________136

1.2.2.1 Composition du siliciure __________________________________________137 1.2.2.2 Rugosité du siliciure du siliciure ____________________________________141

1.2.3 Influence du ratio nickel/silicium mono-cristallin: _____________________________141 1.2.3.1 Rugosité des siliciures ____________________________________________142 1.2.3.2 Composition du siliciure __________________________________________143

1.2.4 Conclusion sur l’influence du ratio Ni/Si ____________________________________145

1.3 Stress induit par la siliciuration _______________________________________________145 1.3.1 Stress induit par un film de poly-silicium totalement siliciuré ____________________145

1.3.1.1 Comportement mécanique du Ni2Si__________________________________146 1.3.1.2 Comportement mécanique du NiSi __________________________________147

1.3.2 Stress induit par la siliciuration du substrat de silicium mono-cristallin (TOSI vs. non TOSI) 148

1.4 Des cinétiques de siliciuration contrôlées par la diffusion __________________________149 1.4.1 Détermination expérimentale des épaisseurs de siliciures _______________________149

1.4.1.1 A partir des mesures de résistance carrée _____________________________149 1.4.1.2 A partir de la pesée_______________________________________________150

1.4.2 Cinétiques sur silicium mono-cristallin______________________________________151 1.4.3 Cinétiques sur silicium poly-cristallin_______________________________________153

1.5 Conclusions _______________________________________________________________156

Bibliographie ________________________________________________________________ 157

128

Page 3: Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

Chapitre 3

Formation du siliciure de nickel Par rapport au module de siliciuration standard (Chap 2, 1.3), la siliciuration totale de grille

implique généralement1 des dépôts de nickel d’épaisseurs plus importantes (~60nm pour une grille poly-Si de 100nm par exemple) et par conséquent des recuits plus longs afin de s’assurer que la totalité du nickel a réagi. Pour une épaisseur de Poly-Si de grille finie, c’est ainsi le dépôt de nickel initial qui fixe généralement le ratio Ni/Si dans la grille et la phase qui sera en contact avec le diélectrique (Figure III. 1). Le contrôle de cette phase étant déterminant pour fixer le travail de sortie effectif de la grille, il est indispensable de pouvoir contrôler les recuits de siliciuration et plus particulièrement le premier recuit, fixant la quantité de nickel dans la grille. Au cours de ces travaux de thèse nous n’avons étudié que de grands dispositifs (10µm² minimum). Le budget thermique est alors moins critique que pour des dispositifs à longueur de grille déca-nanométrique où un excès de nickel peut réagir sur les bords de zones actives ou les espaceurs (Chap. 2, 1.2 et Chap. 5). Afin d’avoir une idée des temps de réaction et des phases formées lors d’une siliciuration totale de grille, nous avons caractérisé les cinétiques de réaction sur différents types de substrats (mono-Si, Si amorphe, poly-Si) ainsi que l’effet des dopants dans des conditions proches du procédé CMOS. Les équipements utilisés (machines de dépôt, fours, …) et les conditions expérimentales associées sont décrites dans l’Annexe 3.

SiO2

Si

NiSi

Grille non TOSIeNi<eSi/1,84

NiSi

Grille TOSI NiSieNi= eSi/1,84

NiSi

Ni2Si

Grille TOSI NiSieSi/1,84<eNi<eSi/0,92

Grille TOSI Ni2SieNi= eSi/1,84

Ni2Si

Grille TOSI Ni2SieSi/1,84<eNi

Ni2Si

Phase richeen Ni

SiO2

Si

NiSi

Grille non TOSIeNi<eSi/1,84

NiSi

Grille TOSI NiSieNi= eSi/1,84

NiSi

Ni2Si

Grille TOSI NiSieSi/1,84<eNi<eSi/0,92

Grille TOSI Ni2SieNi= eSi/1,84

Ni2Si

Grille TOSI Ni2SieSi/1,84<eNi

Ni2Si

Phase richeen Ni

Figure III. 1: Schéma illustrant le rapport entre le ratio Ni/Si et la siliciuration totale de grille NiSi et Ni2Si.

1.1 Courbes de transformation La Figure III. 2 montre les courbes de transformation typiques de la réaction de 10nm de Ni

(avec capping TiN) sur un substrat de Si (001). La résistance carrée obtenue par mesure 4 pointes (Annexe 3) avant et après retrait sélectif du Ni n’ayant pas réagi, est tracée en fonction de la température, pour 30s de recuit sous N2. La différence significative de comportement entre le Rs mesuré avant et après retrait sélectif est due principalement à la présence d’un excès de Ni n’ayant pas réagi. Lorsque le Ni a totalement réagi, les courbes avant/après retrait sélectif peuvent quasiment se superposer (présence de TiN). La réaction est ici complète vers 300°C.

1 Dans notre cas, nous avons essentiellement étudié des structures capacitives permettant l’étude du travail de sortie de

la grille en s’affranchissant des problèmes de siliciuration profonde des régions source/drain.

129

Page 4: Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

130

250 300 350 400 4500

10

20

30

40

50

Ni et TiNnon réagi

RTA 30s. Avant SE RTA 30s. Aprés SE

(SE=Retrait sélectif)

Rs

(Ω/s

q)

Température (°C) Figure III. 2: Courbes de transformation du siliciure de nickel sur un substrat Si à partir de 10nm de Ni. La résistance

carrée mesurée avant et après retrait sélectif est tracée en fonction de la température (recuit de 30s).

Les analyses XRD (Annexe 3) réalisées après retrait sélectif ont permis d’identifier les phases Ni2Si et NiSi entre 270°C et 450°C (Figure III. 3). Les phases Ni3Si, Ni31Si12 et Ni3Si2 observées dans la littérature pendant le recuit de siliciuration [Lavoie’03, Gulpen’85, Gergaud’04, Rivero’05] n’ont pu être clairement identifiées sur les diffractogrammes. Il semblerait que la phase Ni2Si se forme essentiellement selon les plans (013) et (203) parallèles à la surface. Les pics du Ni2Si identifiés disparaissent alors progressivement au profit de la phase2 NiSi (101), (111), (203).

2 0 4 0 6 0 8 0 1 0 0

S i 4 0 0 λ /2

N iS i 2 0 3N i2 S i 0 2 0

N iS i 1 1 2 + N i2 S i 0 1 3

S i 4 0 0 λ /2

N iS i 1 1 1 + N i2 S i 2 0 0

N iS i 1 0 1

3 0 s 3 5 0 °C

3 0 s 3 3 0 °C

3 0 s 2 6 0 °C

3 0 s 2 4 0 °C

Log

(cou

nts)

E ch e lle 2θ 275 300 325 350

0

2

4

6

8

10

12

Non

uni

form

ité (%

)

Température (°C) Figure III. 3: Diagrammes θ-2θ obtenus pour différentes

températures de recuits réalisés après retrait sélectif sur des échantillons TiN(10nm)/Ni(10nm)/Substrat Si (001) recuit.

Figure III. 4: Non uniformité (%) des mesures Rs réalisées sur l’ensemble de la plaque après l’étape de

retrait sélectif.

L’évolution de la non uniformité des mesures sur l’ensemble de la plaque mesurée (Figure III. 4) est directement reliée à la composition du film et reflète l’évolution des phases. A basse température (260°C-300°C), la croissance de la phase Ni2Si (plus résistive) conduit à une diminution de la dispersion de la couche. Ensuite, les premiers germes de NiSi apparaissent à l’interface Ni2Si/Si, ce qui entraîne une augmentation de la dispersion des mesures car les résistivités de Ni2Si et NiSi sont très différentes. Au maximum de dispersion, les deux phases sont fortement mélangées. Ensuite, la croissance de NiSi et la consommation de la couche Ni2Si contribuent à la constitution d’une couche homogène et continue, la dispersion diminue alors vers un plateau. Nous n’avons pas vérifié l’effet des dopants sur la formation des phases. [Lauwers’01] a reporté qu’ entre 400°C et 700°C, pour des échantillons dopés As et B, les courbes de transformations coïncident avec celles du Si non dopé. Une fois tout le nickel ayant réagi, la résistance carrée et l’épaisseur du NiSi seraient indépendantes du type de dopage. 2 La raie du NiSi (112) étant très proche de celle du Ni2Si (013), il est difficile de conclure.

Page 5: Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

Chapitre 3: Formation du siliciure de nickel

131

1.1.1 Influence du capping TiN Pour mieux observer l’effet du capping TiN, les courbes de transformation du siliciure de nickel

ont été normalisées3 par rapport à la résistance carrée du NiSi à 360°C (Figure III. 5). Elles permettent de comparer l’évolution de la résistance carrée des empilements:

- TiN (10nm)/Ni (10nm)/substrat Si recuit 30s au Levitor 4000. - Ni(10nm)/substrat Si recuit in-situ dans l’équipement de dépôt pendant 90s (hot plate de

l’Endura, Annexe 3).

260 280 300 320 340 360 380 4000

1

2

3

4

5

6

7

NiSi

N i 10nm avec recuit in situ N i 10nm avec capping T iN

et recuit Levitor

Rs

(Ω/s

q) n

orm

alis

é pa

r le

Rs

du N

iSi

Température (°C) Figure III. 5: Courbes de transformation du siliciure de nickel avec et sans capping TiN pour des recuits de 30s.

[Besser’98] et [Lauwers’98] avaient démontré avec le siliciure de cobalt, que l’utilisation d’un capping Ti décalait significativement les températures de transformation de phase4. A basse température, ce décalage était attribué à la présence de SiO2 à l’interface CoSi/Co [Detavernier’00]. Il apparaît sur la Figure III. 5, que le capping TiN ne décale pas la température de transformation Ni2Si/NiSi. Ces résultats sont en accord avec les conclusions de [Lauwers’01] à propos de l’influence du capping Ti sur la formation du siliciure de nickel. Ce comportement pourrait s’expliquer par plusieurs facteurs:

- Les recuits sans capping ayant été réalisés in situ, les échantillons n’ont pas été exposés à l’air ambiant, ce qui limite l’exposition à l’oxygène.

- Les températures de réaction pour former les siliciures de nickel sont plus basses que celles du cobalt.

- Le nickel diffuse plus «facilement» que le cobalt à travers une fine couche de SiO2. Notons que la différence entre les 2 courbes, avec un décrochement pour des températures

inférieures à 290°C, est due à une réaction incomplète du Ni dans le cas des échantillons avec TiN. En effet, le temps de recuit pour ces échantillons est de 30s alors que celui des plaques sans couche d’encapsulation TiN est de 90s. Cette hypothèse se confirme dans le paragraphe suivant où nous étudions l’influence du type de recuit..

3 Etant donné la relation: 1/R= 1/Rsubstrat+1/RTiN+1/Rsiliciure, la contribution du TiN à la résistance totale est d’autant

plus importante que la résistance du siliciure est élevée. Il faut donc prendre des précautions en normalisant les courbes. Par exemple, nous aurions aussi pu retrancher une valeur théorique de RTiN (en considérant ρ~200µΩ.cm et e=10nm), et déterminer la valeur de RNiSi. Toutefois, le type de normalisation choisi ne change pas l’aspect qualitatif des observations.

4 Le capping Ti abaisserait l’énergie d’activation pour la formation du CoSi en empêchant la formation de SiO2 entre le CoSi et le Co [Detavernier’00]. La transformation Co2Si/CoSi se fait donc à plus basse température. En revanche, la présence de Ti aux joints de grains pourrait expliquer que la transformation CoSi/Co2Si se fasse à plus haute température.

Page 6: Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

132

1.1.2 Influence du type de recuit

Après le dépôt de 10nm de Ni (avec capping TiN de 10nm) sur un substrat de silicium (001), deux types de recuits ont été réalisés entre 270°C et 450°C (Figure III. 6) sur le Levitor 4000:

- Un recuit spike très rapide: les plaques sont déchargées avant d’atteindre la température de consigne. Ces recuits sont ainsi réalisés sans équilibre thermique entre la plaque et les blocs de chauffe. Le temps durant lequel la plaque est à la température requise peut être considéré comme quasi nul.

- Un recuit de 30s: la température de la plaque augmente jusqu’à atteindre la température des blocs. Le temps de recuit est défini par le temps durant lequel la plaque est à la température désirée.

Par rapport à des recuits de 30s, les courbes de transformation avant/après retrait sélectif des

recuits spike sont décalées vers des températures plus hautes (Figure III. 7). Ceci est du à un phénomène cinétique: A une température donnée, un recuit de type spike à un temps de recuit trop court par rapport à une recuit de 30s. La réaction est alors incomplète. Il faut donc augmenter la température pour voir une transformation complète.

250 300 350 400 4500

10

20

30

40

50

60 RTA 30s. Avant SE RTA 30s. Aprés SE Spike. Avant SE Spike. Aprés SE

(SE=Retrait sélectif)

Rs

(Ω/s

q)

Température (°C)

250 300 350 400 450

0

10

20

30

40

50 RTA 30s Spike

Non

uni

form

ité (%

)

Température (°C) Figure III. 7: Courbes de transformation du siliciure de nickel sur un substrat Si (001) pour des recuits de 30s (noir) et spike (bleu). La résistance carrée mesurée avant (carrés) et après (ronds) retrait sélectif est tracée en fonction de la température.

Figure III. 8: Evolution de la non-uniformité de la mesure de Rs réalisée après retrait sélectif sur des échantillons de TiN (10nm)/Ni (10nm)/Si recuits spike ou 30s à différentes températures. La résistance carrée mesurée avant et après retrait sélectif est tracée en fonction de la température.

0 10 20 30 40 50 60 700

100

200

300

400

500

Temps (s)

Tem

péra

ture

de

la p

laqu

e (°

C)

30s

0 10 20 30 40 50 60 700

100

200

300

400

500

Temps (s)

Tem

péra

ture

de

la p

laqu

e (°

C)

30s

0

100

200

300

400

500

Temps (s)

Tem

péra

ture

de

la p

laqu

e (°

C)

30s

Figure III. 6: Profils de température des recuits spike et de 30s réalisés sur l’empilement substrat Si (001)/Ni (10nm)/TiN (10nm)

On retrouve ici le caractère thermiquement activé de la réaction par l’équivalence temps-température déjà observée par [Lauwers’04] et [Ramamurthy’04] dans des conditions de recuit similaires. Notons que dans le cas de recuits spike, avec de fortes rampes de montée en température, la dispersion des mesures Rs est beaucoup plus grande pendant la transition entre les phases Ni2Si et NiSi, mais que la dispersion des mesures est la même une fois la phase Ni2Si totalement consommée (Figure III. 8).

Pour former une phase donnée du siliciure de nickel, le couple de paramètres temps-température de recuit du siliciure est donc déterminant.

Page 7: Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

Chapitre 3: Formation du siliciure de nickel

133

1.2 Formation séquentielle des siliciures

1.2.1 Influence du recuit Considérons le cas des échantillons TiN (10nm)/Ni (10nm) sur Si (001) avec des recuits de

type spike entre 310°C et 450°C. Les courbes de transformation expérimentales de la Figure III. 7, ont pu être simulées par le modèle cinétique de [Cacho’05, 05bis]. Ce modèle explique le comportement de la résistance carrée et permet d’obtenir l’évolution des épaisseurs des différentes couches en fonction des températures de recuit.

Comme l’illustre la Figure III. 9, nous supposons qu’à une température donnée, la croissance est planaire et séquentielle (Chap. 2, 1.1.3). Le nickel va diffuser dans le silicium, pour former la phase moins résistive et plus riche en nickel Ni2Si, jusqu’à consommation complète du Ni. Le Ni2Si se décompose alors et libère des atomes de Ni en solution solide, pour former le NiSi. Les atomes de nickel diffusent à travers le NiSi et réagissent avec le silicium pour créer une nouvelle couche NiSi. Une fois la totalité du Ni et du Ni2Si consommée, la phase NiSi est la seule en présence.

N i

S i

N i

S i

N i2S i

S i

N i2S i

1 Å N i+ 0 ,91 Å S i 1 ,49 Å N i2S i

S i

N i2S i

S i

N iS iN iS i

1 Å N i2S i+ 0 ,6 2Å S i 1 ,3 4Å N iS i

1Å N i+ 1 ,83 Å S i 2 ,0 1Å N iS i

T iN T iNT iN T iN T iNN i

S i

N i

S i

N i2S i

S i

N i2S i

1 Å N i+ 0 ,91 Å S i 1 ,49 Å N i2S i

S i

N i2S i

S i

N iS iN iS i

1 Å N i2S i+ 0 ,6 2Å S i 1 ,3 4Å N iS i

1Å N i+ 1 ,83 Å S i 2 ,0 1Å N iS i

T iN T iNT iN T iN T iN

Figure III. 9: Séquence schématique de formation du NiSi et relation entre les épaisseurs. Dans certains cas, la formation transitoire de Ni31Si12 et Ni3Si2 avant la formation de Ni2Si.

Nous admettrons que les épaisseurs de siliciure formées suivent les relations données par [Ostling’95, Nicolet’83]:

La diminution de l’épaisseur de nickel est dictée par la formation de la phase Ni2Si:

La croissance de la phase NiSi dépend de la consommation de la phase Ni2Si:

Nous avons vu dans le paragraphe 1.1.2 du chapitre 2 que la croissance des phases Ni2Si et NiSi est principalement limitée par la diffusion. Ces réactions suivent des lois paraboliques en fonction du temps. D’où les relations traduisant l’évolution de l’épaisseur en fonction du temps:

-1 .5

-1 .0

-0 .5

0 .0

0 .5

1 .0

Silic

iure

Ni

pour

une

uni

té d

e N

i

S u rfa c e

N i

N i2S iN iS i

Varia

tion

volu

miq

uepo

ur u

ne u

nité

de N

i

-1 .5

-1 .0

-0 .5

0 .0

0 .5

1 .0

Silic

iure

Ni

pour

une

uni

té d

e N

i

S u rfa c e

N i

N i2S iN iS i

-1 .5

-1 .0

-0 .5

0 .0

0 .5

1 .0

Silic

iure

Ni

pour

une

uni

té d

e N

i

S u rfa c e

N i

N i2S iN iS i

S u rfa c e

N i

N i2S iN iS i

Varia

tion

volu

miq

uepo

ur u

ne u

nité

de N

i

Figure III. 10: Variations volumiques lors de la siliciuration par rapport à la surface du silicium pour une unité d’épaisseur de Ni déposée [Nicolet’83].

2

3 2

2

2

1nmNi 0,91nmSi 1, 47nmNi Si1nmNi 1, 21nmSi 1,74nmNi Si1nmNi Si 0,62nmSi 1, 49nmNiSi1nmNi 1,83nmSi 2, 20nmNiSi1nmNi 3,66nmSi 3,59nmNiSi

+ →⎧⎪ + →⎪⎪ + →⎨⎪ + →⎪

+ →⎪⎩

[Lavoie’04]

Eq. III. 1

2 2Ni

Ni Ni Si Ni Sie 1e e 0,67et 1, 49

• •∂= = − = −

Eq. III. 2

2Ni Si NiSi NiSi1, 49e e 0,74e2,01

• •

= − = −•

Eq. III. 3

22

2

d,Ni SiNi Si

Ni Si

Ke

e

= et d,NiSiNiSi

NiSi

Ke

e

= Eq. III. 4

Page 8: Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

134

Avec Kd, le taux de diffusion. Celui-ci dépend de la température selon les équations:

Où QNi2Si et QNiSi sont les énergies d’activation des phases Ni2Si et NiSi, KB la constante de Boltzmann, T la température du recuit spike, Kd01 et Kd02 les préfacteurs des taux de diffusion du Ni2Si et du NiSi qui seront calculés lors de la simulation. Les énergies d’activation5 ont été prises dans la littérature [Gambino’98, Kittl’03]:

Parallèlement, les résistances carrées (Figure III. 7) mesurées respectivement avant (RS,avant) et

après (RS,après) l’étape de retrait sélectif des couches de TiN et de Ni n’ayant pas réagi, peuvent s’exprimer par:

Où RTiN, RNi2Si, RNi, RNiSi, RSi sont respectivement les résistances carrées des couches TiN, Ni, Ni2Si, NiSi, Si. Pour un substrat Si non dopé, la résistance carrée est très grande et 1/RSi peut être négligé:

La relation Ri=ρi/ei permet de relier la résistance carrée Ri d’une couche i de résistivité ρi à son épaisseur ei. On a donc la relation:

La résistivité d’un matériau dépend de nombreux paramètres tels que la température, la quantité de défauts dans le cristal, l’orientation cristalline, l’épaisseur de la couche et son uniformité,…[Gottlieb’95]. Dans la littérature, les valeurs de résistivité des siliciures de nickel différent (Tableau III. 1). Aussi est-il intéressant de pouvoir déterminer la résistivité des siliciures étudiés par simulation.

Ni 2Si

B

2

QK T

d,Ni Si d01K K e−

= et NiSi

B

QK T

d,NiSi d02K K e−

= Eq. III. 5

QNi2Si~1,5eV et QNiSi~1,4eV Eq. III. 6

2S,avant TiN Ni Ni Si NiSi Si

1 1 1 1 1R R R R R

= + + + +1

R et

2S,aprés Ni Si NiSi Si

1 1 1R R R R

= + +1 Eq. III. 7

1/RSi~0 Eq. III. 8

2

2

Ni SiTiN Ni NiSi

S TiN Ni Ni Si NiSi

ee e e1R

+ + +ρ ρ ρ ρ

∼ Eq. III. 9

ρNi (µΩ.cm) ρNi2Si (µΩ.cm) ρNiSi (µΩ.cm) 50-150 22-28 13-14

Tableau III. 1: valeurs de résistivités données par la littérature sur films minces à 293K [Gottlieb’95].

Lorsque tout le nickel a réagi, la différence de Rs entre les échantillons mesurés avant et après l’étape de retrait sélectif correspond au retrait des 10nm de capping TiN (Figure III. 11). La résistivité ρTiN du TiN peut alors être extraite expérimentalement6. Il a une résistivité d’environ 90µΩ.cm. A partir des profils réels de température et en mettant en équation la formation séquentielle du NiSi7 (modèle développé dans [Cacho’05bis]), il est possible de modéliser pour chaque profil réel de température, les épaisseurs des différentes couches en fonction du temps. On obtient ainsi pour chaque recuit les épaisseurs finales théoriques. Tout comme les facteurs pré-

5 L’énergie d’activation du Ni2Si est de 1,5-1,6eV [Tu’83, Olowolafe’76]. Celle du NiSi serait comprise entre 1,2eV et

1,8eV [Scott’81, d’Heurle’84]. Les résultats de résistivité obtenus sont peu sensibles à un écart de 0,1eV de l’énergie d’activation choisie.

6 A partir de la relation: RS,après-Rs,avant=ρTiN/eTiN. 7 La mise en équation de la formation séquentielle tient compte des équations de diffusion.

Page 9: Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

Chapitre 3: Formation du siliciure de nickel

135

exponentiels Kd,01 et Kd,02, les résistivités des couches Ni, Ni2Si et NiSi8 sont déterminées par ajustement aux courbes expérimentales de résistances carrées9. La Figure III. 11 représente les courbes expérimentales et simulées.

320 340 360 380 400 420 4400

10

20

30

40

50

60

NiSi

Simulation aprés SE Courbe expérimentale aprés SE Simulation avant SE Courbe expérimentale avant SE

SE=retrait sélectifR

s (Ω

/sq)

Température (°C) Figure III. 11: Courbes de résistance carrée en fonction de la température des recuits spike. Le modèle cinétique de la croissance des différentes phases est optimisé à partir des courbes expérimentales avant et après retrait sélectif [F. Cacho].

A partir des profils réels de température et en mettant en équation la formation séquentielle du NiSi10 (modèle développé dans [Cacho’05bis]), il est possible de modéliser pour chaque profil réel de température, les épaisseurs des différentes couches en fonction du temps. On obtient ainsi pour chaque recuit les épaisseurs finales théoriques. Tout comme les facteurs pré-exponentiels Kd,01 et Kd,02, les résistivités des couches Ni, Ni2Si et NiSi11 sont déterminées par ajustement aux courbes expérimentales de résistances carrées12. La Figure III. 11 illustre le bon accord entre les courbes expérimentales et simulées.

Les estimations des paramètres extraits des simulations sont résumées dans le Tableau III. 2:

Kd,01 (nm²/s) Kd,02 (nm²/s) ρTiN (µΩ.cm) ρNi (µΩ.cm) ρNi2Si (µΩ.cm) ρNiSi (µΩ.cm) 138.1011 35.1011 90 60 24 14

Tableau III. 2: Paramètres déterminés après optimisation du modèle [Cacho’05].

Les valeurs des résistivités se situent dans l’ordre de grandeur des valeurs rencontrées dans la littérature (Tableau III. 1). Malgré le bon accord entre les courbes de résistance carrée, la résistivité du NiSi extraite (~14µΩ.cm) semble légèrement plus faible que la résistivité déterminée directement par l’expérience13 (~16µΩ.cm). Or, si l’on ne néglige pas le substrat (Rs~120-130Ω), on trouve une résistivité ρNiSi~17µΩ.cm. 8 NiSi peut aussi être déterminée expérimentalement. D’après les mesures XRD, NiSi est la seule phase en présence à

450°C. On a alors: RS,après(450°C)~ρNiSi/eNiSi. Le NiSi a ainsi une résistivité d’environ 16µΩ.cm. 9 Le modèle est basé sur la résolution d’un système de 14 équations (Rs de 7 températures de recuits mesurées avant et

après l’étape de retrait sélectif) à 5 inconnues (préfacteurs des taux de diffusion et résistivités) 10 La mise en équation de la formation séquentielle tient compte des équations de diffusion. 11 NiSi peut aussi être déterminée expérimentalement. D’après les mesures XRD, NiSi est la seule phase en présence à

450°C. On a alors: RS,après(450°C)~ρNiSi/eNiSi. Le NiSi a ainsi une résistivité d’environ 16µΩ.cm. 12 Le modèle est basé sur la résolution d’un système de 14 équations (Rs de 7 températures de recuits mesurées avant et

après l’étape de retrait sélectif) à 5 inconnues (préfacteurs des taux de diffusion et résistivités) 13 La résistivité déterminée par l’expérience est calculée à partir de la résistance carrée mesurée après retrait sélectif à 450°C, lorsque la

phase NiSi est totalement formée. On a alors: RS,après(450°C)~ρNiSi/eNiSi.

Page 10: Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

136

Les épaisseurs extraites, tracées en fonction des différentes températures de recuits spike (Figure III. 12) tiennent ainsi compte de la croissance séquentielle des phases Ni2Si et NiSi.

320 340 360 380 400 420 4400

5

10

15

20

total

Ni2SiNi

NiSi

Epai

sseu

r (nm

)

Température des recuits spike (°C) Figure III. 12: Evolution des épaisseurs finales des différentes couches en fonction de la température des recuits spike après simulation [F. Cacho].

1.2.2 Influence du ratio nickel/silicium poly-cristallin: Nous avons vu que le budget thermique est un paramètre important dans la formation des

différentes phases. Or, pour former des dispositifs à grille totalement siliciurée, où la totalité du silicium de grille est consommée lors de la réaction, le ratio métal/silicium devient important pour contrôler la phase en contact avec le diélectrique. Ainsi avons nous déposé deux épaisseurs de nickel14 sur un empilement de 120nm de Poly-Si colonnaire15 sur 2nm d’oxyde thermique. Les épaisseurs de nickel déposées ont été déterminées à partir des équations Eq. III. 1, de façon à avoir d’une part la phase NiSi (70nm de Ni) et d’autre part la phase Ni2Si (145nm de Ni) en contact avec le diélectrique. Si l’on considère la formation séquentielle des siliciures, ces épaisseurs permettraient idéalement de se placer dans les conditions illustrées par la Figure III. 13:

Phase richeen Ni

SiO2(2nm)

Poly-Si (120nm)

Ni (70nm)

Recuit

Substrat Si

Poly-Si (120nm)

Substrat Si

Ni (145nm)

SiO2Substrat Si

SiO2

Ni2Si (128nm)

Substrat Si

NiSi (~135nm)

Ni2Si(~12nm)

TiN(10nm)

TiN(10nm)

SiO2(2nm)

Phase richeen Ni

SiO2(2nm)

Poly-Si (120nm)

Ni (70nm)

Recuit

Substrat Si

Poly-Si (120nm)

Substrat Si

Ni (145nm)

SiO2Substrat Si

SiO2

Ni2Si (128nm)

Substrat Si

NiSi (~135nm)

Ni2Si(~12nm)

TiN(10nm)

TiN(10nm)

SiO2(2nm)

Figure III. 13: Evolution des épaisseurs finales des différentes couches en fonction de l’épaisseur de Ni initiale.

14 Nous avons déposé une couche de protection de 10nm de TiN sur les couches de Ni. 15 Dépôt de Poly-Si colonnaire réalisé à 620°C sous une pression de 0,2Torr.

Page 11: Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

Chapitre 3: Formation du siliciure de nickel

137

Pour cela, un recuit de 9min a été réalisé à 330°C afin de former principalement la phase Ni2Si. Le nickel n’ayant pas réagi est retiré par une chimie sélective. Un second recuit de 4min à 450°C est ensuite réalisé dans le but de comparer l’évolution de la composition et du stress résiduel de l’empilement de grille quand il n’y a plus de silicium ni de nickel pour poursuivre la réaction de siliciuration.

1.2.2.1 Composition du siliciure

Pour les échantillons ayant reçu un dépôt de 145nm, que ce soit avant ou après le second recuit de 4min à 450°C, les profils Auger (voir Annexe 3) de la Figure III. 14.a mettraient16 en évidence une couche de Ni2Si en surface avec une diminution progressive du ratio Ni/Si vers la phase Ni3Si2. Cela pourrait s’expliquer par un mélange de grains entre les deux phases en présence: la phase la plus riche en nickel se situant principalement dans la partie haute de la grille, tandis que la phase la plus riche en silicium se situe plutôt dans la partie basse. Parallèlement, sur l’échantillon ayant initialement eu un dépôt de 70nm de Ni sur 120nm de Poly-Si, les profils Auger (Figure III. 14.b) indiqueraient une couche riche en nickel à la surface dont le ratio Ni/Si tend progressivement vers le NiSi. Ces résultats sont cohérents avec une formation séquentielle des phases. En effet, les phases les plus riches en nickel vont se former avant les phases moins riches. La réaction de siliciuration s’arrête une fois tout le silicium consommé.

0 10 20 30 400

1020

3040506070

8090

100

Plaque 145nm Ni / Poly 120nm

SiO2

Temps de gravure (s)

C

once

ntra

tion

atom

ique

(%at

)

Ni Si O (x2)

0 10 20

0102030405060708090

100

Plaque 70nm Ni / Poly 120nm

SiO2

Temps de gravure (s)

C

once

ntra

tion

atom

ique

(%at

)

Ni Si O (x2)

Figure III. 14: Profil Auger (a) d’une plaque siliciurée avec 145nm de nickel pendant 9min à 330°C et dont le métal non réagi a été retiré sélectivement; (b) d’une plaque siliciurée avec 70nm de nickel pendant 4min à 450°C et dont le métal non réagi a été retiré sélectivement. Les carrés noirs correspondent au profil du nickel, les ronds rouges à celui du silicium et enfin les triangles bleus permettent de repérer le pic de l’oxygène correspondant au diélectrique de grille SiO2. La concentration atomique de Ni et Si a été obtenue en rapportant l’intensité de chaque profil par rapport à la

somme des profils du Ni et du Si seuls. La plaque siliciurée avec 145nm de nickel ayant reçu en plus du recuit de 9min à 330°C, un second recuit de 4min à 450°C se superpose au profil (a).

a) b)

Pour l’échantillon correspondant au dépôt de nickel de 145nm, l’image MEB de la Figure III. 15. a présente des îlots plus sombres de diamètre 10-15µm. Notons que les profils Auger en profondeur de Figure III. 14 ont été obtenus hors d’une zone d’îlots. Une analyse Auger (Figure III. 15. b) a été réalisée sur la surface de l’échantillon17, le long de ces îlots. Ces derniers ont un ratio Ni/Si légèrement plus faible que la matrice environnante de composition approximative Ni3Si2.

16 La quantification a été effectuée à l’aide de facteurs de sensibilité tabulés (non calibrés) et par normalisation. En

conséquence, les concentrations atomiques ne doivent pas être interprétées comme absolues, mais plutôt comme une comparaison relative entre les différents échantillons.

17 Après un faible bombardement de la surface par un plasma d’Ar afin d’éliminer l’oxyde natif en surface.

Page 12: Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

138

a)

0 10 20 30 40 50 60 70 80 90 100

40

50

60

b)

Plaque 145nm Ni / Poly 120nm

Distance (µm)

C

once

ntra

tion

atom

ique

(%at

)

Ni Si

Figure III. 15: (a) Image MEB vue de dessus et (b) spectre Auger semi-quantitatif de Si1 et Ni1, réalisé le long de la ligne indiquée sur l’image MEB, d’une plaque siliciurée avec 145nm de nickel pendant 9min à 330°C, dont le métal

non réagi a été retiré sélectivement et qui a été recuite une seconde fois à 450°C.

Ceci pourrait indiquer un mécanisme de formation proche des mécanismes déjà observés dans la littérature [Colgan’96] sur Poly-Si, dans des conditions où la réaction n’est pas limitée par le ratio Ni/Si. Il y aurait une forte corrélation entre les températures de début de croissance des grains de Poly-Si et de déformation du siliciure [Hong’94]. En effet, la croissance de grain requiert initialement la déformation du siliciure comme le schématise la Figure III. 16.

NiSi

NiSi/Poly-Si N iSi/Poly-Si N iSi/Poly-Sia) b) c)

NiSi

NiSi/Poly-Si N iSi/Poly-SiN iSi/Poly-Si N iSi/Poly-SiN iSi/Poly-Sia) b) c)

Figure III. 16: Représentation schématique de la déformation du siliciure [Colgan’96]. Etant énergétiquement favorisés, les grains de Poly-Si situés à l’interface siliciure Poly-Si peuvent croître à travers le siliciure jusqu’à la surface.

Ce pourrait aussi être l’indication d’une croissance latérale d’îlots plus riches en Si dans une matrice Ni3Si2. Dans le cas du Ni2Si, [Hoummada’06] avait pu observer directement grâce à une sonde atomique tomographique18, la nucléation et la croissance latérale du Ni2Si. Ce phénomène se produit par diffusion à l’interface entre une couche qui pourrait correspondre à du NiSi et le Ni(Pt) déposé avant même le recuit de siliciuration. 18 Ce microscope permet de produire des cartographies 3D de la distribution des espèces chimiques avec une résolution

atomique. Il permet d’obtenir des mesures quantitatives de la composition. Basé sur l’évaporation par effet de champ électrique, le matériau est pulvérisé atome par atome.

Page 13: Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

Chapitre 3: Formation du siliciure de nickel

139

Des analyses XRD (Annexe3) ont été réalisées sur ces mêmes échantillons (Figure III. 17). Le diagramme θ-2θ donne une information sur les grains parallèles à la surface. A partir de la base de données du Centre International des Données de Diffraction (ICDD), et plus particulièrement à partir des fiches sur les phases19 NiSi, Ni3Si2, Ni2Si, Ni31Si12 orthorhombiques et Ni2Si hexagonal, nous avons essayé20 d’identifier les différentes phases en présence afin d’infirmer ou de confirmer les conclusions des analyses Auger. Pour ces échantillons ayant eu un dépôt de 145nm de nickel, les diagrammes θ-2θ confirment la présence de la phase Ni2Si essentiellement21 orthorhombique. Les autres pics pourraient être attribués à la phase Ni31Si12. Ces échantillons recuits à 450°C et/ou 350°C présentent à nouveau des diagrammes θ-2θ identiques. Ainsi, quel que soit le bilan thermique appliqué, les analyses Auger et XRD mettent en évidence la stabilité de la composition et de la nature des phases en l’absence de source de nickel et de silicium supplémentaire.

Sur la plaque ayant eu un dépôt de Ni plus mince, seules les phases NiSi ont été détectées. Il est possible que la couche supérieure, plus riche en nickel, soit ici trop fine pour être détectée en mode θ-2θ. Toutefois, quels que soient les échantillons, les nombreux pics détectés soulignent le caractère poly-cristallin, sans orientation préférentielle marquée, des siliciures formés.

1 5 3 5 5 5 7 5 9 5E c h e lle 2 th e ta

Log

(Cou

nts)

N iS i 1 1 1N iS i 1 0 1

S i 4 0 0 λ /2

S i 4 0 0

S i 4 0 0 K β

N iS i 1 1 2

N i2 S i 0 1 3

N i2 S i 1 0 0 N i3 1 S i1 2 2 1 0N i3 1 S i1 2 2 1 1

N i2 S i 2 1 0N i2 S i 2 0 2

N i3 1 S i1 2 3 0 0

N i2 S i 1 0 2

N i2 S i 1 1 3N i3 1 S i1 2 3 1 1

N iS i 0 1 1

N iS i 2 0 0N iS i 2 1 0 N iS i 2 0 2 , 2 1 1

N iS i 3 0 1 , 2 1 2

N iS i 0 1 3 , 0 2 0

a )1 4 5 n m N ia p rè s R T A 1

b )1 4 5 n m N ia p rè s R T A 2

c )7 0 n m N ia p rè s R T A 2

Figure III. 17: Diffractogrammes θ-2θ de plaques siliciurées avec 145nm (a et b) et 70nm de nickel (c). L’échantillon (a) a subi un recuit de 9min à 330°C et le retrait sélectif. Le même traitement a été réalisé sur l’échantillon (b) avec un

recuit supplémentaire de 4min à 450°C afin d’observer si le diagramme θ-2θ évoluait par rapport à celui de (a). (c) ayant eu un dépôt de 70nm a eu un unique recuit de 4min à 450°C suivi du retrait sélectif. Le Ni2Si indexé en rouge

correspond au Ni2Si orthorhombique comparé au Ni2Si hexagonal indexé en vert.

Les diagrammes sous incidence rasante (Figure III. 18) donnent une information plus précise sur les couches de surface et donc sur la couche de siliciure supérieure. Pour l’échantillon de 70nm de Ni, ils confirment la présence de la phase NiSi et permettent de mettre en évidence des pics qui pourraient correspondre à la phase Ni2Si en surface. Les diagrammes des plaques ayant vu un dépôt de Ni plus épais sont en accord avec les conclusions précédentes. Notons que certains pics de l’échantillon n’ayant vu que le recuit à 350°C(Ni2Si 113, 102 par exemple) sont un peu plus larges que les mêmes pics de l’échantillon ayant en plus été recuit à 450°C. Ceci peut révéler que les 19 Les fiches sont dans l’annexe 3: NiSi orthorhombique (fiche n°65-1475 ou 38-0844), Ni3Si2 orthorhombique (fiche

n°65-1428), Ni2Si hexagonal (fiche n°65-1931) et orthorhombique (fiche n°65-1507), et enfin Ni31Si12 orthorhombique (fiche n°17-0222).

20 Il est difficile d’identifier avec certitude les pics des différentes phases en présence dans la mesure où certains pics des différentes phases sont très proches. De plus, pour une phase donnée, il existe souvent plusieurs fiches dans la base de données ICDD (fiches 03-0943 et 65-1507 pour le Ni2Si par exemple).

21 Quelques pics pourraient correspondre à la phase Ni2Si hexagonale (Ni2Si 100 et 102)

Page 14: Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

140

phases concernées sont légèrement plus amorphes dans le cas d’un faible bilan thermique ou que les grains sont plus petits.

20 30 40 50 60 70Echelle 2 theta

Lin

(Cou

nts)

a)145nm Niaprès RTA1

NiSi 111

Ni2Si 112

NiSi 011

NiSi 210

Ni2Si 210

Ni2Si 103

Ni2Si 100

NiSi 202, 211

NiSi 101

NiSi 200

NiSi 112

Ni2Si 113NiSi 103

NiSi 301, 212

NiSi 013, 020

Ni31Si12 202, 113Ni31Si12 210

Ni31Si12 211Ni2Si 103

Ni2Si 210Ni2Si 202

Ni31Si12 300

Ni2Si 013Ni2Si 102

Ni2Si 113Ni2Si 203

Ni2Si 104Ni31Si12 311

Ni2Si 123, 312Ni2Si 222

Ni2Si 303, 105

b)145nm Niaprès RTA2

c)70nm Niaprès RTA2

Figure III. 18: Diffractograme XRD sous incidence rasante de plaques siliciurées avec 145nm (a et b) et 70nm de

nickel. L’échantillon (a) a subi un recuit de 9min à 330°C et le retrait sélectif du métal non réagi. Le même traitement a été réalisé sur l’échantillon (b) avec un recuit supplémentaire de 4min à 450°C afin d’observer si le diagramme θ-2θ évolue par rapport à celui de l’échantillon (a). L’échantillon (c) ayant eu un dépôt de 70nm a eu un unique recuit de

4min à 450°C suivi du retrait sélectif du métal non réagi. Le Ni2Si indexé en rouge correspond au Ni2Si orthorhombique comparé au Ni2Si hexagonal indexé en vert.

Basée sur les analyses Auger et XRD, la Figure III. 19 illustre une configuration possible de l’échantillon ayant eu un dépôt de Ni de 145nm, avec un mélange de grains Ni2Si au milieu d’une matrice Ni31Si12.

Figure III. 19: Représentation schématique d’une configuration possible de l’échantillon de 145nm de Ni déposé sur

12nm de Poly-Si et recuit 9min à 450°C. Les grains de Ni2Si (vert clair) seraient mélangés aux grains de Ni31Si12.

Ainsi, l’analyse des phases en présence est délicate. Nous voyons que d’une méthode à l’autre, les phases observées diffèrent. Globalement, l’analyse XRD a systématiquement détectée des phases plus riches en Ni que l’Auger. Ceci pourrait s’expliquer par un phénomène de moyennage de l’Auger. Ainsi, pour une grille poly-Si, nous pouvons supposer que dans le cas d’un ratio Si/Ni d’environ 0.82, nous avons des îlots de Ni2Si en surface dans une matrice de Ni31Si12. Ceci serait cohérent avec une croissance latérale de la phase Ni2Si. Pour un ratio Si/Ni de ~1,71, visant à réaliser une grille TOSI NiSi, nous aurions une couche de Ni2Si en surface sur une couche de NiSi.

Page 15: Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

Chapitre 3: Formation du siliciure de nickel

141

1.2.2.2 Rugosité du siliciure du siliciure

Des mesures AFM en mode tapping (Annexe 3) ont été effectuées afin d’évaluer l’ordre de grandeur de la rugosité du siliciure de nickel formé sur un film de Poly-Si. La Figure III. 20 compare les cartographies des différents échantillons: l’échantillon (a), ayant eu un dépôt de Ni de 70nm et qui d’après les analyses précédentes serait constitué d’une couche de Ni2Si en surface sur une couche de NiSi, présente un RMS d’environ 18Å. Les échantillons (b) et (c), ayant des dépôts de Ni plus épais et semblant présenter différentes phases en surface, présentent des rugosités plus importantes: 25Å pour l’échantillon (b) n’ayant vu que le recuit de 9min à 330°C et 30Å pour l’échantillon (c) ayant en plus été recuit 4min à 450°C. Cette rugosité plus importante peut être attribuée à la nature du siliciure analysé (Ni2Si ou Ni31Si12). De part la méthode d’analyse, nous ne pouvons pas savoir si la cartographie est réalisée sur un des îlots repérés précédemment. Toutefois, si ces valeurs peuvent être discutables22, elles donnent tout de même un ordre de grandeur de la rugosité de surface.

Figure III. 20: Cartographie AFM, réalisées après retrait sélectif, de la rugosité de: (a) l’échantillon ayant eu un dépôt de 70nm de Ni sur un Poly-Si de 120nm et recuit 9min à 330°C; (b) l’échantillon ayant eu un dépôt de 145nm de Ni sur un Poly-Si de 120nm et recuit 9min à 330°C; (c) l’échantillon ayant eu un recuit supplémentaire de 4min à 450°C

par rapport à l’échantillon (b).

a) b) c)

1.2.3 Influence du ratio nickel/silicium mono-cristallin: Parallèlement à l’étude précédente sur silicium poly-cristallin, nous avons voulu observer

l’influence de la texture du silicium sur la formation du siliciure de nickel. En effet, il pourrait être utile de remplacer le Poly-Si par du silicium amorphe par exemple. Nous nous sommes ici placés dans le cas extrême de la siliciuration totale d’un film de silicium mono-cristallin. Ici, nous avons fait varier l’épaisseur de la couche de silicium disponible23 entre 10nm et 50nm, tout en gardant constant l’épaisseur de nickel déposée (10nm). A titre de comparaison, cette étude a aussi été menée avec un dépôt cobalt de 8nm. Nous nous sommes intéressés pour chaque siliciure à l’influence du recuit. Les conditions expérimentales sont résumées dans le Tableau III. 3.

OPERATION Détail 1 2 3 4 5 6 7 8 9100Å x x150Å x x x200Å x350Å x500Å x x

x x x x x x x x xdépôt Ni 100Å x x x x x x x x x

60s à 400°C x60s à 450°C x x x x x60s à 500°C x x x

x x x x x x x x xRetrait sélectif

Epaisseur de Si

Recuit in situ

Nettoyage de surface (HF 30Å)

OPERATION Détail 13 15 17 18 19100Å x150Å x200Å x350Å x500Å x

x x x x xdépôt Co/TiN 80Å/100Å x x x x x

1er recuit 30s à 530°C x x x x xx x x x x

2eme recuit 20s à 830°C x x x x xRetrait sélectif

Epaisseur de Si

Nettoyage de surface (HF 30Å)

Tableau III. 3: Résumé des conditions expérimentales.

22 Les valeurs des RMS peuvent être discutables dans la mesure où la cartographie de l’échantillon (a) d’une part et celles

des échantillons (b) et (c) d’autre part, n’ont pas été réalisées avec la même pointe, au même moment. 23 Des substrats SOI standards ont été amincis par oxydation/désoxydation successives.

Page 16: Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

142

La Figure III. 21 donne la correspondance entre ces ratios Ni/Si et les empilements visés:

SiO2

Ni2Si

SiO2

NiSi

SiO2

Ni2Si

Ni2Si

NiSi

SiO2

Si

Phase richeen Ni

NiSi

Plaque 1 Plaque 4 Plaque 6 Plaque 7

SiO2

CoSi(11nm)

SiO2

CoSi(16nm)

SiO2

CoSi2(10nm)

SiO2 SiO2

CoSi(9nm)

Si (5nm)Si (20nm)

CoSi2(28nm)

CoSi2(28nm)

SiO2

Si

NiSi

Plaque 8

Plaque 13 Plaque 15 Plaque 17 Plaque 18 Plaque 19

SiO2

Ni2Si

SiO2

NiSi

SiO2

Ni2Si

Ni2Si

NiSi

SiO2

Si

Phase richeen Ni

NiSi

Plaque 1 Plaque 4 Plaque 6 Plaque 7

SiO2

CoSi(11nm)

SiO2

CoSi(16nm)

SiO2

CoSi2(10nm)

SiO2 SiO2

CoSi(9nm)

Si (5nm)Si (20nm)

CoSi2(28nm)

CoSi2(28nm)

SiO2

Si

NiSi

Plaque 8

Plaque 13 Plaque 15 Plaque 17 Plaque 18 Plaque 19

Figure III. 21: Représentation schématique de la correspondance entre les ratios Ni/Si utilisés et les empilements

visés.

1.2.3.1 Rugosité des siliciures

Contrairement aux siliciures de nickel, les siliciures de cobalt semblent présenter, sur les images prises au microscope électronique à balayage, une rugosité plus importante (Figure III. 22). Pour les siliciures de cobalt, on peut distinguer que plus le film de silicium initial est mince, plus le siliciure semble rugueux. Cette tendance est confirmée par les observations au Microscope à Force Atomique24 (AFM, voir Annexe 3) réalisées sur les siliciures de nickel. En effet, les siliciures de nickel formés sur 10nm et 15nm de silicium ont respectivement des RMS (Root Mean Square) de 2,6 Å et 2,95 Å (Figure III. 23). Ces valeurs sont bien plus faibles que celles obtenues avec des siliciures formés sur du Poly-Si. Ceci peut s’expliquer par la granularité initiale du Poly-Si. Les joints de grains engendrent des irrégularités pendant la formation du siliciure. Toutefois, ces observations sont encore une fois qualitatives, car comme précédemment, cette tendance peut être due à la phase formée mais peut aussi être induite par la préparation des échantillons25. Sur substrat de silicium massif, des films de 20nm de NiSi ont des RMS variant entre 1 et 1,5Å.

Plaques Ni Co 6nm/ Si 10nm Co 6nm/ Si 15nm Co 6nm/ Si 20nm Co 6nm/ Si 35nmPlaques Ni Co 6nm/ Si 10nm Co 6nm/ Si 15nm Co 6nm/ Si 20nm Co 6nm/ Si 35nm

Figure III. 22: Images MEB des échantillons.

Figure III. 23: Observation AFM en mode tapping de l’échantillon siliciuré Ni avec 10nm de Si initial.

24 Les observations ont été faites en mode tapping et la résolution est de 0,4 Å. 25 Les oxydations et désoxydations successives visant à amincir les substrats SOI peuvent induire une certaine rugosité.

Page 17: Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

Chapitre 3: Formation du siliciure de nickel

143

Moins précises que l’AFM26, les observations réalisées au profilomètre haute résolution (HRP)27 donnent une information sur la rugosité d’une large zone28 et confirment la plus faible rugosité des siliciures de nickel (Figure III. 24). Les plaques siliciurées cobalt ont ainsi des RMS29 de 7-9Å alors que les plaques siliciurées nickel ont des RMS plus faibles de 3-4 Å. Le maximum des courbes correspondant à une fréquence spatiale quasi-nulle, tous les échantillons semblent présenter une rugosité à grande échelle.

En plus de cette rugosité à grande échelle, les plaques siliciurées Ni montrent des populations de grains de 1,5µm, 350nm et 230nm. Ceci peut éventuellement être problématique dans la mesure où la taille des grains peut influencer le travail de sortie du matériau (Chapitre 2, §1.4.4.1).

Co 6nm/ Si 35nmMode normal

Co 6nm/ Si 35nmMode FFT

Ni 10nm/ Si 50nmMode FFT

Ni 10nm/ Si 50nmMode normal

Co 6nm/ Si 35nmMode normal

Co 6nm/ Si 35nmMode FFT

Ni 10nm/ Si 50nmMode FFT

Ni 10nm/ Si 50nmMode normal

Figure III. 24: Observation au HRP en modes normal et FFT. Exemple d’une plaque siliciurée Co (haut) et d’une plaque siliciurée Ni (bas).

1.2.3.2 Composition du siliciure

Les phases en présence dans les différents échantillons, recuits pendant 30s à 450°C, ont été analysées en XRD (Annexe 3). Les plaques 1, 4 et 6 présentent l’évolution des diagrammes θ-2θ en fonction des épaisseurs initiales de silicium (Figure III. 25).

15 35 55 75 95Echelle 2 theta

Log

(Cou

nts)

Plaque 1

Plaque 4

Plaque 6

Si 400 λ/2

NiSi 111

Si 400

Si 400 Kβ

NiSi 203NiSi 112

Ni3Si2 313

Ni2Si 013

Ni2Si 020Ni2Si 112

Ni3Si2 350

Ni2Si 020NiSi 203

Ni2Si 013

10nm Si initial

15nm Si initial

20nm Si initial

Figure III. 25: Diagramme θ-2θ de plaques siliciurées avec 10nm de nickel pendant 30s à 450°C. Les mesures ont été réalisées après le retrait sélectif. Plaque 1: 10nm de Si initial. Plaque 4: 15nm de Si initial. Plaque 6: 20nm de Si initial.

26 Le rayon de courbure de la pointe du HRP étant de 0,1µm, les observations AFM sont plus précises. 27 Et plus particulièrement en mode FFT (Fast Fourier transformation). 28 Scan de 200µm pour les mesures en 2 dimensions et de 40µm² pour les mesures en 3 dimensions. 29 Les RMS sont déduits de la racine des maximums des courbes FFT.

Page 18: Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

144

La plaque 1 présente des phases riches en nickel avec un Ni2Si texturé30 (013) et (020), et une phase qui pourrait correspondre au Ni3Si2 (350).

Sur la plaque 4 ayant une épaisseur initiale de Si plus importante (15nm), le pic de diffraction de cette dernière phase s’atténue au profit de celui de la phase NiSi (203). La largeur de ce pic peut révéler que le NiSi, coexistant avec le Ni2Si, présente des grains plus fins. Enfin, pour une épaisseur initiale de 20nm de Si, les pics correspondant aux phases plus riches en nickel s’atténuent. De même, l’intensité du pic correspondant au NiSi (203) diminue et celui du NiSi (111) commence à apparaître. Cette évolution en fonction des épaisseurs initiales de silicium semble cohérente avec une formation séquentielle des siliciures de nickel où les phases les plus riches en nickel vont se former avant les phases moins riches. La réaction de siliciuration s’arrête une fois tout le silicium consommé.

Sur les diagrammes sous incidence rasante de la Figure III. 26, il n’a pas été possible d’identifier clairement la plupart des pics des plaques 1 et 4 à partir des fiches du NiSi, Ni3Si2 et Ni2Si. Il est possible que les pics soient légèrement décalés par rapport aux données des fiches. Sous l’effet de contraintes dans le film, les paramètres de maille peuvent en effet être légèrement différents de ceux des siliciures de référence. Mais l’hypothèse la plus probable est toutefois la présence en surface d’une ou plusieurs phases riches en nickel telles que Ni3Si ou Ni31Si12. Sur la plaque 4, on retrouve le pic du Ni2Si (013) déjà observé en mode θ-2θ, mais aussi celui du Ni2Si (103). Il semblerait donc qu’il y ait plusieurs phases en présence: NiSi, Ni2Si et probablement une ou plusieurs phases riches en nickel en surface. Sur la plaque 6, l’analyse rasante confirme la présence du NiSi sans orientation préférentielle marquée. Notons que les pics situés au delà de 70° n’ont pas pu être identifiés.

10 30 50 70 90Echelle 2 theta

Lin

(Cou

nts)

Plaque 110nm Si initial

Plaque 415nm Si initial

Plaque 620nm Si initial

NiSi 111

NiSi 112

NiSi 011 NiSi 112

NiSi 202

NiSi 103

Ni2Si 103

NiSi 301

Ni2Si 113Ni31Si12 211

Ni2Si 103

Figure III. 26: Diagramme sous incidence rasante des plaques siliciurées de plaques siliciurées avec 10nm de nickel pendant 30s à 450°C. Les mesures ont été réalisées après le retrait sélectif. Plaque 1: 10nm de Si initial. Plaque 4:

15nm de Si initial. Plaque 6: 20nm de Si initial.

La Figure III. 27 résume ces observations. A part pour la plaque 1 où les phases en présence n’ont pas toutes été identifiées, les épaisseurs de Ni2Si et de NiSi (Tableau III. 4) ont été déterminées en supposant une formation séquentielle, à partir des équations Eq. III. 10 suivantes:

30 Le pic (112) du Ni2Si, qui devrait être de forte intensité, est ici très faible.

Page 19: Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

Chapitre 3: Formation du siliciure de nickel

145

2

2

1nm Ni +0,91nm Si 1,49nm Ni Si1nm Ni +1,83nm Si 2,01nm NiSi1nm Ni Si + 0,62nm Si 1,34nm NiSi

→⎧⎪ →⎨⎪ →⎩

Eq. III. 10

Plaques # 1 4 6 7 8Ni déposé (Å) 100 100 100 100 100Si initial(Å) 100 150 200 350 500

Ni ayant réagi (Å) 100,0 100 100 100 100Ni2Si formée (Å) 140 140 140 140 140Si restant (Å) 10 60 110 260 410

Ni2Si final(Å) 124,8 49,09 0 0 0NiSi final(Å) 21,67 130 201 201 201Si restant (Å) 0 0 17 167 317

Après formation du NiSi

Après formation du Ni2Si

SiO2

Ni2Si

SiO2

NiSi

SiO2

Ni2Si

Ni3Si2

NiSi

SiO2

Si (10nm)Ni (10nm)

SiO2

Si (15nm)

SiO2

Si (20nm)

SiO2

Si (35nm)Ni (10nm) Ni (10nm)

Ni (10nm)

SiO2

Si

Phase richeen Ni

NiSi

Recuit450°C 30s

Plaque 1 Plaque 4 Plaque 6 Plaque 7

SiO2

Ni2Si

SiO2

NiSi

SiO2

Ni2Si

Ni3Si2

NiSi

SiO2

Si (10nm)Ni (10nm)

SiO2

Si (15nm)

SiO2

Si (20nm)

SiO2

Si (35nm)Ni (10nm) Ni (10nm)

Ni (10nm)

SiO2

Si

Phase richeen Ni

NiSi

Recuit450°C 30s

Plaque 1 Plaque 4 Plaque 6 Plaque 7

Tableau III. 4: Epaisseurs calculées à partir des Eq. III. 10 Figure III. 27: A partir des analyses XRD, schéma

récapitulatif des siliciures formés en fonction de l’épaisseur du film de silicium (ratio Ni/Si).

1.2.4 Conclusion sur l’influence du ratio Ni/Si En résumé, pour des ratios Si/Ni visant a former des films TOSI NiSi (~1,7), nous observons

un bicouche Ni2Si/NiSi. Contrairement au cas de la formation de films TOSI riches en Ni sur mono-Si, la siliciuration

totale de grilles riches en Ni (Si/Ni~0,8) risque d’être problématique. En effet, sur mono-Si, nous avons observé un bicouche Ni3Si2/Ni2Si. Or, sur poly-Si, nous avons été confrontés à un phénomène de croissance lattérale, ou du moins, à la présence d’ilôts de Ni2Si au milieu d’une matrice plus riche en Ni (ici Ni3Si12). Ceci peut ainsi se répercuter sur le contrôle du travail de sortie effectif de la grille. Ce dernier pourrait alors varier localement.

1.3 Stress induit par la siliciuration

1.3.1 Stress induit par un film de poly-silicium totalement siliciuré L’intérêt croissant porté aux effets de contrainte dans le canal des dispositifs nous a poussé à

étudier dans quelle mesure l’empilement de grille (constitué de l’oxyde et de la grille Poly-Si totalement siliciurée) pouvait affecter le stress dans le canal. Une forte contrainte induite par l’empilement de grille sur le canal peut impacter les performances des dispositifs. En effet, il a été reporté dans la littérature que la contrainte induite par le siliciure pouvait avoir une influence sur la création de dislocations dans le substrat Si [Steegen’99], sur le courant de fuite dans le cas de jonctions fines [Steegen’00] et sur la mobilité des porteurs dans le canal [Steegen’99bis]. Des mesures de rayon de courbure ont ainsi été réalisées31 sur les échantillons de Poly-Si 120nm ayant eu un dépôt de 70 et 145nm de Ni. Afin de se placer dans des conditions proches de celles du 31 Les mesures ont été réalisées sur le Flexus (Annexe 3)

Page 20: Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

146

procédé de réalisation, le Poly-Si a subi l’équivalent d’un recuit d’activation (spike 1080°C). Notons que ces mesures de stress sur pleines plaques ne donnent que des tendances, la répartition de la contrainte sur des dispositifs étant différente.

Avant la siliciuration, un stress compressif moyen de –19MPa a ainsi été mesuré dans l’empilement Poly-Si/SiO2. En revanche, après siliciuration totale de grille, la contrainte résiduelle moyenne dans l’empilement de grille est fortement en tension quelle que soit l’épaisseur de nickel déposée. La Figure III. 28 résume les valeurs de contrainte résiduelle mesurées dans les différents films.

0200400600800

1000120014001600180020002200

145nm Ni

70nm Ni

Aprés RTA24min, 450°C

Aprés RTA19min, 330°C

Aprés dépôt Poly-Siet recuit spike

C

ontra

inte

rési

duel

le (M

Pa)

70nm Ni 145nm Ni

Figure III. 28: Contrainte résiduelle mesurée par mesure de rayon de courbure sur le Flexus (Annexe 3) comparant les échantillons ayant eu des dépôts de Ni de 70nm (carrés rouges) et 145nm (triangles noirs). La mesure initiale de

rayon de courbure a été réalisée sur substrat de Si avant toute étape de dépôt. Elle a ensuite été comparée aux mesures après recuit d’activation, après l’étape de retrait sélectif suivant le RTA1 (9min, 330°C) et enfin après le

RTA2 (4min à 450°C).

Pour un film TOSI NiSi (dépôt de 70nm), la contrainte passe de -19MPa avant siliciuration à ~1200MPa aprés avoir subi un recuit de 9min a 330°C. Cette contrainte reste stable si l’on applique un second recuit au siliciure. Nous avons pourtant vu précédemment (1.1.2.2) que les phases détectées sont différentes pour les échantillons avec et sans recuit.

En revanche, pour un film TOSI riche en nickel (depot de 145nm), la contrainte passe de -19MPa avant siliciuration a ~1200MPa comme pour le film TOSI NiSi, mais elle double (2000MPa) si l’on ajoute un recuit de 4min a 450°C. Or, nous avons vu au paragraphe précédent (1.1.2.2) que les analyses physico-chimiques avaient révélées des spectres identiques avant et apres RTA2.

Afin de comprendre ces résultats, une étude de [Cacho’05] a permis de corréler la contrainte résiduelle32 à la nature des phases.

1.3.1.1 Comportement mécanique du Ni2Si

Comme nous l’avons vu dans le paragraphe 1.2.3.5 du Chapitre 2, pour la plupart des auteurs, la phase Ni2Si semblerait croître en compression. Or, nous venons de voir que la contrainte résiduelle, mesurée ici sur l’échantillon composé essentiellement de Ni2Si, est fortement en tension. En décomposant la contrainte33 et en partant d’un profil de température constant pendant 80s et refroidissant en 10s jusqu’à température ambiante, [Cacho’05] a simulé une représentation de la contrainte lors de la formation du Ni2Si. La Figure III. 29 représente ces simulations pour trois

32 à température ambiante 33 La contrainte est décomposée en la somme d’une contrainte élastique, viscoplastique (dépendant fortement de la

température), thermique et d’une constante spécifique à la dilatation due au changement de phase.

Page 21: Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

Chapitre 3: Formation du siliciure de nickel

147

températures de recuit: 250°, 300°C et 350°C. Plus la température est haute, plus la relaxation est rapide et la contrainte décroît rapidement vers des valeurs nulles avant le refroidissement (exemple du recuit à 350°C). La Figure III. 30 reporte l’évolution de la contrainte résiduelle en fonction de la température de recuit. Pour les températures les plus basses (en dessous de 250°C), le temps de relaxation est tellement long que la contrainte résiduelle dans le film reste constante avec la température de recuit. Quand la température de recuit augmente, le temps de relaxation décroît de façon drastique et la contrainte résiduelle devient de plus en plus en tension. Ceci confirme les valeurs de contraintes résiduelles réalisées sur les échantillons ayant eu un dépôt de Ni de 145nm. En résumé, le comportement du film de Ni2Si serait la somme d’une forte contrainte de croissance compressive qui se relâcherait avec la température, et d’une contrainte thermique en tension. Quand la température de formation du Ni2Si augmente, la contrainte résiduelle est de plus en plus en tension.

-2

-1,5

-1

-0,5

0

0,5

1

0 20 40 60 80 10time

0

-1,5

-1

-0,5

0

0,5

1

150 200 250 300 350Temperature (°C)

resi

dual

stre

ss (G

Pa)

(s)

biax

ial s

tress

(GP

a)

cooling

T=250°C

T=300°C

T=350°C

residual stress

isothermal temperature

temperature

Figure III. 29: Représentation schématique de la contrainte dans le film pendant la formation du Ni2Si pour différents

recuits de 80s [Cacho’05].

Figure III. 30: Représentation schématique de la contrainte résiduelle dans le film de Ni2Si en fonction

de la température de recuit pour des recuits de 80s [Cacho’05].

1.3.1.2 Comportement mécanique du NiSi

Nous n’avons pas étudié l’évolution de la contrainte lors de la formation du NiSi. Toutefois, nous nous sommes intéressés à l’impact de recuits après formation de la couche de NiSi. La Figure III. 31 représente la contrainte résiduelle du film de NiSi après différents recuits successifs appliqués au film de NiSi. Pendant le recuit de 60s à 400°C, la contrainte dans le film de NiSi se relâche, alors que pour de faibles bilans thermiques, la contrainte reste constante. Cela pourrait s’expliquer par un mécanisme viscoplastique important à haute température. [Cacho’05] a discuté du comportement mécanique du NiSi selon la rampe de refroidissement en température. Il a observé que la température de transition entre les régimes viscoplastique et thermo-élastique dépend de la vitesse de recuit. Avec des rampes supérieures à 2°C/min, la température de transition est supérieure à 280°C. En revanche, avec un système RTA, le refroidissement est identique à une trempe. Le temps du recuit est alors un paramètre clé qui modifie la température de transition.

Page 22: Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

148

0

200

400

600

800

250 300 350 400 450Annealing temperature after RTA2(°C)

Res

idua

l stre

ss (M

Pa)

Relaxation No relaxation at the annealing temperature

RTA 400°C 60sRTA 280°C 60s RTA 350°C 60s

NiSi formation RTA 450°C 60s

Figure III. 31: Mesure de la contrainte résiduelle après recuit d’un film de NiSi formé à 450°C.

[Detavernier’03] a aussi étudié l’effet d’un second recuit sur une couche de NiSi, comparant les valeurs des paramètres de maille d’un film mince de NiSi formé à 500°C, refroidi brutalement et auquel on a appliqué une rampe de température, et les valeurs des paramètres de maille d’un film de NiSi massif. Comme nous l’avons vu dans le Chap 2 1.2.3.4, il a été observé dans la littérature [Wilson’92], que l’axe correspondant au plus petit paramètre de maille se contracte avec la température. Parallèlement, le volume total de la cellule augmente avec la température34. L’expansion thermique du NiSi étant apparemment anisotropique, l’état de contrainte dans le film NiSi à température ambiante devrait dépendre de l’orientation des grains, du plan parallèle à l’interface NiSi/Si, des coefficients d’expansion dans ce plan et du tenseur de contrainte. De plus, des contraintes inter-grain, pouvant provenir d’interactions mécaniques entre des grains voisins, peuvent donner lieu à d’importants effets de second ordre [Welsel’03]. En supposant que les grains soient relaxés après la formation du NiSi lors du premier recuit, la différence d’expansion thermique entre le Si et le NiSi va provoquer une forte contrainte en tension dans ses grains durant le refroidissement de l’échantillon à température ambiante. En chauffant à nouveau le film NiSi, le stress thermique dans le film décroît. Ceci pourrait expliquer pourquoi dans le cas du NiSi, la contrainte résiduelle n’augmente pas avec le bilan thermique.

1.3.2 Stress induit par la siliciuration du substrat de silicium mono-cristallin (TOSI vs. non TOSI)

La valeur de la contrainte induite sur le canal peut fortement modifier la mobilité des porteurs et ainsi modifier les performances des transistors. La mesure de la contrainte induite par la siliciuration totale ou partielle d’un film SOI peut ainsi apporter des indications. Les mesures de contraintes résiduelles réalisées sur les plaques SOI amincies sont résumées dans le Tableau III. 5. A part pour les échantillons amincis à 10nm, à épaisseurs de film équivalentes, les valeurs de contraintes résiduelles mesurées sont plus faibles pour les siliciures de nickel que pour les siliciures de cobalt. Comme précédemment, la contrainte résiduelle est en tension. De même, pour les échantillons avec des phases riches en nickel (<=15nm de Si), plus le bilan thermique est élevé, plus cette contrainte est grande. Les films de NiSi présentent des contraintes sensiblement plus faibles.

34 Généralement, une augmentation de la température implique un accroissement de l’entropie et donc du désordre. Par

conséquent, on observe généralement une augmentation de volume.

Page 23: Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

Chapitre 3: Formation du siliciure de nickel

149

OPERATION Détail 1 2 3 4 5 6 7 8 9100Å x x150Å x x x200Å x350Å x500Å x x

x x x x x x x x xdépôt Ni 100Å x x x x x x x x x

60s à 400°C x60s à 450°C x x x x x60s à 500°C x x x

x x x x x x x x x735 1291 621 812 944 824 735 730 860Contrainte résiduelle (MPa)

Nettoyage de surface (HF 30Å)

Retrait sélectif

Epaisseur de Si

Recuit in situ

OPERATION Détail 13 14 15 16 17 18 19100Å x x150Å x x200Å x350Å x500Å x

x x x x x x xdépôt Co/TiN 80Å/100Å x x x x x x x

1er recuit 30s à 530°C x x x x x x xx x x x x x x

2eme recuit 20s à 830°C x x x x x20s à 700°C x x

787 486 1459 1242 1596 1471 1366

Epaisseur de Si

Retrait sélectif

Contrainte résiduelle (MPa)

Nettoyage de surface (HF 30Å)

Tableau III. 5: Résumé des mesures de contraintes résiduelles réalisées sur les échantillons de SOI amincis.

De ces études de stress réalisées sur des pleines plaques, nous avons vu que les conditions de recuit influent fortement sur la contrainte induite par la siliciuration. Toutefois, ces études ont été réalisées sur des pleines plaques, il est donc possible que cette forte contrainte soit relachée sur des dispositifs déca-nanométriques.

1.4 Des cinétiques de siliciuration contrôlées par la diffusion Nous avons vu que la température, mais aussi le temps de recuit, sont des facteurs déterminants

dans la formation du siliciure. Afin de pouvoir minimiser ce budget thermique tout en assurant la siliciuration totale de la grille, il est utile de réaliser l’étude des cinétiques de croissance. Nous nous sommes particulièrement intéressés à la cinétique du Ni2Si formé lors du premier recuit de siliciuration. En effet, l’épaisseur de la phase Ni2Si détermine alors35 la quantité de nickel disponible à la formation de la phase NiSi. Nous avons vu dans le chapitre 2 que le Ni2Si a une croissance contrôlée par la diffusion. Le carré de l’épaisseur de siliciure formé devrait donc varier linéairement avec le temps. Des mesures de résistance carrée et des pesées36 nous ont permis d’évaluer les cinétiques de siliciuration sur silicium mono-cristallin et poly-cristallin non dopés qui constituent nos références. En effet, pour intégrer une grille TOSI; il nous faut certes siliciurer totalement la grille, mais aussi les régions de source et de drain.

1.4.1 Détermination expérimentale des épaisseurs de siliciures Pour la détermination des cinétiques de siliciuration, nous nous sommes placés dans une

configuration où les réservoirs de silicium (mono- ou poly-cristallin) et de nickel peuvent être considérés comme infinis. Ce n’est alors pas l’épaisseur de nickel mais le temps de recuit qui est le paramètre limitant de la réaction. Nous avons ici pris l’exemple d’un dépôt de 200nm de nickel avec un capping de 10nm de TiN déposé sur un substrat Si (001) et recuit à 270°C pendant différents temps de recuits.

1.4.1.1 A partir des mesures de résistance carrée

Pour plus de précision, la résistance carrée du substrat peut être mesurée avant le dépôt. Toutefois, cette résistance étant généralement très élevée, sa contribution au calcul de l’épaisseur

35 Après retrait sélectif. 36 Pour extraire l’épaisseur de siliciure, il est nécessaire de peser la plaque témoin avant et après le dépôt métallique, mais

aussi après le retrait sélectif du métal n’ayant pas réagi. En supposant connues la densité du nickel et la surface sur laquelle est faite le dépôt, il est possible d’en déduire une estimation de l’épaisseur de nickel ayant réagi puis de remonter à l’épaisseur du siliciure. Cette méthode est détaillée dans l’Annexe 3.

Page 24: Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

150

(en 1/R) est très souvent négligée. Typiquement, le Rs d’un substrat Si de type P est de l’ordre de 100-150Ω. Tant que le Ni2Si est la seule phase en présence, il est alors possible de relier directement la résistance carrée obtenue par mesure 4 pointes (Annexe 3) à l’épaisseur de siliciure formée (Figure III. 33) grâce à la relation:

Ni2Si

s Ni2Si substrat

e1 1R R

= +ρ

ou Ni2Si Ni2SiNi2Si

s substrat

eR Rρ ρ

= − Eq. III. 11

Dans la mesure où la résistivité dépend de plusieurs facteurs dont l’épaisseur du siliciure lui-même, la résistivité de 24µΩ.cm déterminée au paragraphe 1.1.1.3 ne permet pas de déterminer de façon absolue l’épaisseur du siliciure37. Toutefois, elle en donne une bonne estimation. La dispersion observée est généralement due à une différence de budget thermique entre le bord et le centre de la plaque lors du recuit. Cette dispersion peut être grande selon l’équipement de recuit utilisé. Nous avions dans un premier temps realisé les recuits sur la hot plate de la machine de dépôt (Annexe 3). Or, nous avons vu que cet outil n’est pas adapté pour former des épaisseurs importantes de siliciure. L’exemple de la cartographie de Rs de la Figure III. 32 lors d’un recuit de 2min à 280°C sur la hotplate montre une différence bord centre de 6Ω/ correspondant à une différence de 20nm en considérant le Ni ayant réagi. L’épaisseur dépendant de l’inverse de la résistance, l’erreur sur la mesure rend impossible l’extraction d’une pente. C’est pourquoi nous avons utilisé le Levitor qui permet un meilleur contrôle des durées de recuit et des uniformités.

Figure III. 32: Cartographie de Rs réalisée sur du Ni(200nm)/Si(100) recuit 2min à 280°C sur la hotplate de l’ENDURA. Illustration d’une forte dispersion bord/centre.

1.4.1.2 A partir de la pesée

La pesée est un moyen supplémentaire pour évaluer l’épaisseur de siliciure formée et plus précisément l’épaisseur de nickel ayant réagi. Pour cela, il est nécessaire de peser la plaque (de surface S) avant les dépôts métalliques (m0) et après l’étape de retrait sélectif (mf) afin de déterminer le poids du nickel n’ayant pas réagi (mNi=mf-m0). Il est alors possible de déduire l’épaisseur de nickel n’ayant pas réagi (eNi), et donc gravé durant l’attaque sélective, de la relation:

avec dNi~8,91g/cm3, la densité volumique du nickel et r~9,9cm, le rayon du dépôt Ni PVD sur une plaque 200mm.

A partir de l’épaisseur de nickel ayant réagi, nous pouvons alors calculer l’épaisseur de siliciure formée à partir des relations données par la littérature [Ostling’95]:

NiNi

Ni

me ~S.d

, avec S=π.r² Eq. III. 12

37 Dans la mesure du possible, une calibration par coupe TEM peut être utile.

Page 25: Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

Chapitre 3: Formation du siliciure de nickel

151

Les épaisseurs obtenues par pesée sont généralement en bon accord avec les épaisseurs obtenues à partir des mesures 4 pointes (Figure III. 33). Toutefois, cette mesure ne donne qu’une estimation de l’épaisseur moyenne formée. Contrairement, aux mesures 4 pointes, elle ne rend pas compte des fluctuations d’épaisseur sur l’ensemble de la plaque.

2 3 21nmNi 1,49nmNi Si 1,74nmNi Si 2,01nmNiSi 3,59nmNiSi→ → → → 2 Eq. III. 1

4 5 6 7 8 9 10 11 12

10

15

20

E

pais

seur

de

Ni 2S

i ap

rés

recu

it à

270°

C (n

m)

Racine carrée du temps (s1/2)

Epaisseurs extraite des mesures Rs Epaisseurs extraite des pesées

Figure III. 33: Epaisseurs de Ni2Si tracées en fonction de la racine carrée du temps. Comparaison entre les épaisseurs extraites à partir des mesures Rs et celles déduites de la pesée des plaques pour des couches de TiN(10nm)/Ni(200nm)/Si(100) recuit à 270°C pendant différents temps.

1.4.2 Cinétiques sur silicium mono-cristallin

Pour réaliser cette étude de cinétique sur silicium mono-cristallin (001), 200nm de Ni avec un capping de 10nm de TiN ont été déposés puis recuits entre 250°C et 300°C pendant 30s, 60s et 120s (Figure III. 34). Dans cette gamme de température et de durée, seule la phase Ni2Si a pu être observée (voir 1.1.1).

En traçant le carré de l’épaisseur (L) en fonction du temps (t) pour différentes températures (Figure III. 35), nous obtenons une série de droites, caractéristiques des cinétiques contrôlées par la diffusion (Chap. 2, 1.1.2). Les pentes de ces droites permettent d’extraire le taux de diffusion Kd,Ni2Si pour la formation du Ni2Si:

Ni (200nm)

Substrat Si (001)

TiN (10nm)

250°C, 270°C, 280°C, 290°C, 300°C30s, 60s, 120s

Ni (200nm)

Substrat Si (001)

TiN (10nm)

250°C, 270°C, 280°C, 290°C, 300°C30s, 60s, 120s

Figure III. 34: Schéma illustrant les conditions expérimentales.

L²=Kd,Ni2Si.t+L0Eq. III. 13

Ce taux de diffusion est thermiquement activé et suit la loi d’Arrhenius de l’Eq. III. 5. Ainsi, en

traçant à son tour Ln(Kd) en fonction de l’inverse de la température (Figure III. 36), il est possible d’extraire l’énergie d’activation ainsi que le facteur pré-exponentiel du coefficient de diffusion:

avec Kd,Ni2Si et Kd,01 le coefficient de diffusion et son facteur pré-exponentiel (nm²/s), KB la constante de Boltzmann (J/K), QNi2Si l’énergie d’activation (J) et T la température (K).

Ni2Sid,Ni2Si d,01

B

Q 1Ln(K )=Ln(K )-K T

Eq. III. 14

Page 26: Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

152

L’énergie d’activation de 1,45eV est en accord avec la majorité des valeurs trouvées dans la littérature avoisinant 1,5-1,6eV [Olowolafe’76, d’Heurle’83, Zheng’83, Lien’84, Nemouchi’05] pour une diffusion dans la direction normale à l’interface. Le facteur pré-exponentiel est ici de 0,82cm²/s, soit environ six fois la valeur déterminée par les simulations faites à partir des recuits de type spike (voir 1.1.1.3). Les mesures de Rs ne donnant qu’une estimation de l’épaisseur réelle, une telle différence provient du fait que l’imprécision des mesures impacte fortement Ln(Kd,01). Si ces expériences nous donnent un ordre de grandeur des épaisseurs de siliciure formées, il est difficile de déterminer ainsi avec précision les paramètres cinétiques de la formation du Ni2Si.

20 40 60 80 100 1200

500

1000

1500

2000y~43,31+15,74x

y~125,56+8,09x

y~14,94+5.20x

y~20+2,76x

y~-0,18+0,92x

Temps (s)

L²=Kdt

C

arré

de

l'épa

isse

ur d

e N

i 2Si (

nm²) 300°C

290°C 280°C 270°C 250°C

17 18 19 20-14.0

-13.5

-13.0

-12.5Ln(Kd,Ni2Si)=Ln(Kd01)-QNi2Si.(1/KBT)QNi2Si~1,45eVKd01~82.1012nm²/s

y~0,17-0,73xLn

Kd (

cm²/s

)

10000/T (J-1)

Figure III. 35: Carré de l’épaisseur de la couche Ni2Si tracée en fonction du temps. Les pentes donnent les coefficients de diffusion pour chaque température.

Figure III. 36: Loi d’Arrhenius tracée à partir des coefficients de diffusion Kd extraits de la Figure III. 35. La pente de ce graphique représente l’énergie d’activation de la croissance du Ni2Si.

Les valeurs des énergies d’activation et des coefficients de diffusion, même si elles dépendent fortement des conditions expérimentales, sont la signature du mode de diffusion (Figure III. 37). Par exemple, pour [Tu’75], l’énergie d’activation serait de 2,48eV pour une diffusion volumique et de 1,75eV dans les joints de grain. La Figure III. 38 illustre les ordres de grandeur des coefficients de diffusion donnés dans la littérature selon le mode de diffusion. Nous pouvons constater que les coefficients extraits de notre étude de cinétiques sur silicium monocristallin sont proches de ceux obtenus par [Zheng’83] dans le cas d’une diffusion du Ni normale à la plaque.

Ni

Ni

a) Diffusion aux joints de grains

b) Diffusion volumique

Ni

Ni

a) Diffusion aux joints de grains

b) Diffusion volumique

d

notre étude

d

notre étude

Page 27: Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

Chapitre 3: Formation du siliciure de nickel

153

Figure III. 37: Représentation schématique simplifiée des phénomènes de diffusion aux joints de grains et de diffusion volumique. Les joints de grains constituent un chemin privilégié pour le transport de matière. Contrairement à la diffusion volumique où chaque site est équivalent, la structure en joints de grains présente des sites distincts qui sont plus favorables à la diffusion que d’autres sites

Figure III. 38: Coefficient de diffusion (D=Kd) pour différentes formes de diffusion de Ni dans Ni2Si: a) diffusion volumique de Ni [Ciccariello’90], b) diffusion du Ni aux joints de grains [Ciccariello’90], c) diffusion du Ni en formation latérale [Tu’75], d) diffusion du Ni en formation normale [Zheng’83]. Nous avons rajouté nos points à titre de comparaison.

A partir des différentes études menées dans la littérature avec des traceurs radioactifs [Gas’95], des hypothèses38 ont été faites sur les contributions respectives de la diffusion aux joints de grains et la diffusion volumique (Figure III. 37). [Ciccariello’90] a montré, plus particulièrement à basse température, que les joints de grains présentent des chemins de diffusion efficaces pour la diffusion du nickel. La diffusion aux joints de grains serait de six ordres de grandeur plus grande que la diffusion volumique [Gas’94, Ciccariello’90]. Pour des températures comprises entre 250°C et 300°C, le coefficient de diffusion, calculé à partir de nos données expérimentales, varie entre 8,8.10-15cm²/s et 1,5.10-13cm²/s. Ces valeurs correspondent aux ordres de grandeur des coefficients de diffusion volumique observés avec les traceurs radioactifs [Baglin’82, Gas’95, d’Heurle’84]. De plus, en se basant sur des considérations théoriques, le facteur pré-exponentiel pour une diffusion volumique devrait avoisiner les 1cm²/s [Adda’66, Shewmon’63]. Ainsi, à partir du facteur pré-exponentiel expérimental (0,82cm²/s) et du coefficient de diffusion, nous pouvons supposer que le mécanisme de diffusion prépondérant dans le cas de la formation du Ni2Si sur substrat silicium mono-cristallin (001) est une diffusion volumique normale à la surface.

Nous n’avons ici considéré que des échantillons où seule la phase Ni2Si a été détectée39. Notons que lorsque deux phases croissent simultanément, le problème est plus complexe40 (Chap. 2, 1.1.2.2).

1.4.3 Cinétiques sur silicium poly-cristallin Les cinétiques extraites sur silicium poly-cristallin colonnaire (620°C - 0,2Torr), ont été réalisées

dans les mêmes conditions expérimentales que précédemment (voir 1.1.2.2) avec 200nm de Ni et un capping de 10nm de TiN déposés puis recuits entre 270°C et 310°C pendant 60s, 120s et 310s (Figure III. 39). Pour ces échantillons, les phases en présence n’ont pas été analysées.

38 L’interprétation des expériences avec traceurs radioactifs est extrêmement complexe. Il est difficile de décorréler la

diffusion volumique de la diffusion aux joints de grains. De plus, les conditions expérimentales sont la plupart du temps peu contrôlées.

39 Par diffractogramme XRD 40 Quand deux phases se forment simultanément, la croissance des phases reste proportionnelle à la racine du temps,

mais l’analyse nécessite plus d’attention. Le cas de la croissance simultanée du Co2Si et du CoSi a été traitée par [Lien’85].

Page 28: Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

154

N i (200nm )

Poly-Si colonnaire(200nm )

TiN (10nm )

Substrat Si (001)SiO 2 (2nm )

270°C, 290°C, 310°C60s, 120s, 300s

N i (200nm )

Poly-Si colonnaire(200nm )

TiN (10nm )

Substrat Si (001)SiO 2 (2nm )

270°C, 290°C, 310°C60s, 120s, 300s

Figure III. 39: Schéma illustrant les conditions expérimentales.

En traçant le carré de l’épaisseur (L) en fonction du temps (t) pour différentes températures (Figure III. 40), nous obtenons encore une fois une série de droites, caractéristiques des cinétiques contrôlées par la diffusion (Chap. 2, 1.1.2). Toutefois, la dispersion sur les valeurs de résistances carrées mesurées et donc sur les épaisseurs de Ni2Si extraites est assez importante. Les pentes moyennes de ces droites permettent d’extraire les taux de diffusion Kd,Ni2Si pour chaque température. Ce taux suit la loi d’Arrhenius (Figure III. 41).

30 60 90 120 150 180 210 240 270 300 3300

1000

2000

3000

4000

5000

6000

7000

8000 310°C 290°C 270°C

y~2087,44+14,89x

y~1045,93+11,18x

y~136,05+8,31x

Temps (s)

L²=Kdt

C

arré

de

l'épa

isse

ur d

e N

i 2Si (

nm²)

17 18 19

-13.75

-13.50

-13.25

-13.00

-12.75

-12.50 Mono-Si

Poly-Si

Ln(Kd,Ni2Si)=Ln(Kd01)-QNi2Si.(1/KBT)QNi2Si~0,4eVKd01~502.1014nm²/s

1000/T (J-1)

Ln K

d (cm

²/s)

Figure III. 40: Carré de l’épaisseur de la couche Ni2Si tracée en fonction du temps. Les pentes donnent les coefficients de diffusion pour chaque température.

Figure III. 41: Loi d’Arrhenius tracée à partir des coefficients de diffusion Kd extraits de la Figure III. 40. La pente de ce graphique représente l’énergie d’activation de la croissance du Ni2Si.

En supposant que le carré de l’épaisseur moyenne suive une loi linéaire en fonction du temps, l’énergie d’activation serait d’environ 0,4eV. Cette valeur n’est pas cohérente et correspondrait plus à la valeur de l’énergie d’activation de la diffusion du nickel dans le silicium qu’à celle du nickel dans le Ni2Si. La dispersion sur les mesures de Rs et donc sur la valeur du carré de l’épaisseur rend très difficile l’exploitation des données expérimentales. Par exemple, en tenant compte de l’incertitude sur la détermination du taux de diffusion KD, il est possible d’atteindre une énergie d’activation d’environ 1,3eV. De plus, le poly-silicium étant constitué de grains, il est possible que la diffusion soit principalement de type joints de grains et que le siliciure se forme moins uniformément. Dans le cas du silicium mono-cristallin, nous avions négligé la contribution de la réaction à l’interface (Kr). En supposant que la croissance du siliciure suive une loi linéaire parabolique (Chap. 2, 1.1.2), nous avons tracé le temps de recuit en fonction de l’épaisseur de siliciure pour chaque température (Figure III. 42):

Page 29: Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

Chapitre 3: Formation du siliciure de nickel

155

0306090

120150180210240270300330

Au premier abord, les points semblent suivre une loi de croissance linéaire parabolique, mais le logarithme des coefficients de diffusion extraits ne sont pas linéaires en fonction de l’inverse de la température (Figure III. 43). La loi d’Arrhenius n’est pas respectée.

0 10 20 30 40 50 60

310°C 290°C 270°C

y~0,4x²-5,4x+48,4

y~0,5x²-19,8x+252,3

y~0,45x²-27,5x+468,6

Epaisseur de Ni Si (nm)

r d

L(t) L²(t) tK K

+ = Eq. III. 15

t=L²/Kd+L/Kr

Te

mps

(s)

1.70 1.75 1.80 1.85

0.75

1.00

1000/T (J-1)Ln

(Kd)

2 Figure III. 42: Temps en fonction de l’épaisseur de Ni2Si. Figure III. 43: Ln(Kd) tracé en fonction de 1000/T à

partir des coefficients de diffusion Kd extraits de la Figure III. 42.

Page 30: Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

156

1.5 Conclusions Ce chapitre résume les études de base réalisées sur le siliciure de nickel et la siliciuration totale

de grille NiSi sur des oxydes thermiques. En effet, avant de commencer à moduler le travail de sortie effectif de grille en siliciure de nickel, il nous fallait étudier la formation de ce siliciure dans nos conditions de procédés.

Dans un premier temps, nous avons réalisé les courbes de transformation du siliciure de nickel

entre 250°C et 450°C sur des substrats Si (001), mettant en évidence une transition Ni2Si/NiSi autour de 300°C. La couche d’encapsulation de 10nm de TiN n’aurait pas d’influence sur cette température de transformation du Ni2Si en NiSi. En revanche, nous avons vu en comparant les courbes de transformation du siliciure de nickel formé avec un recuit RTA et formé avec un recuit spike, que le couple temps-température y joue un rôle crucial. Grâce à un modèle basé sur les équations de la diffusion et la croissance séquentielle du siliciure, nous avons pu extraire différents paramètres (résistivités des différentes couches et taux de diffusion) et ainsi suivre l’évolution des épaisseurs de siliciure en fonction de la température.

Dans le cas d’une grille totalement siliciurée, la réaction de siliciuration se produit en défaut de

silicium. La réaction s’arrête quand il n’y a plus de silicium pour réagir. Dans le cas de la formation d’une grille TOSI NiSi, nous observons bien deux couches distinctes Ni2Si/NiSi. Mais dans le cas de la formation d’une grille TOSI très riche en nickel, nous avons observé la formation d’îlots de Ni2Si au milieu d’une matrice qui pourrait être du Ni31Si12. Il est donc impératif de bien contrôler le ratio Ni/Si afin de contrôler la phase en contact avec le diélectrique.

Dans ce chapitre, nous nous sommes aussi intéressés aux effets de contraintes induites par une

couche de Poly-Si totalement siliciurée. Si la phase Ni2Si croît en compression, la contrainte résiduelle après le premier recuit de formation est en tension. Il semblerait que le comportement du Ni2Si soit la somme d’une forte contrainte de croissance compressive qui se relâcherait avec la température, et d’une contrainte thermique en tension. Lors du deuxième recuit de formation du NiSi, il est apparu que les rampes de montées en température et de refroidissement sont des paramètres clés.

Afin d’évaluer les temps de recuits nécessaires à la siliciuration totale de grille, nous avons

réalisé des études de cinétique de siliciuration. Si les coefficients de diffusion et les énergies d’activation extraites sur mono-Si sont bien cohérentes avec les valeurs de la littérature, l’extraction s’est avéré difficile sur Poly-Si. Toutefois, ces cinétiques nous ont permis d’évaluer les vitesses de siliciuration afin de déterminer les conditions de siliciuration.

Page 31: Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

Chapitre 3: Formation du siliciure de nickel

157

Bibliographie

A [Adda’66] ADDA Y., PHILIBERT J. La diffusion dans les solides. Vol. 1. Paris: Presse

universitaire de France, 1966, p. 451.

B [Baglin’82] BAGLIN J. E. E., ATWATER H. A., GUPTA D. et al. Radioactive Ni* tracer study of

the nickel silicide growth mechanism. Thin Solid Films, 1982, vol. 93, p. 255.

[Benedetti’04] BENEDETTI A., BENDER H., TORREGIANI C. et al. Nanometer scale characterisation of CoSi2 and NiSI induced strain in Si by convergent beam electron difraction. Materials Science and Engineering B, 2004, vol. 114-115, pp. 61-66.

[Berman’81] BERMAN A. Time-zero dielectric reliability test by a ramp method. In : IEEE Int. Rel. Phys. Symposium, 1981, p. 204.

[Besser’98] BESSER P., LAUWERS A., ROELANDTS N. et al. The infuence of capping layer type on cobalt salicide formation in films and narrow lines. In : Advanced Interconnects and contact materials and processes for future integrated circuits Symposium, 1998, p. 375-380.

C [Cacho’05] CACHO F., AIME D., WACQUANT F. et al. Kinetic analysis and correlation with

residual stress of the Ni/Si system on thin film in CMOS technology. In : Material research society Symposium Proceedings vol. 875, 2005, Thin films-stresses and mechanical properties XI, pp. 429-35.

[Cacho’05bis] CACHO F. Etude et simulation de la siliciuration du nickel: Application dans les technologies MOS [en ligne]. Thèse de doctorat, école des Mines de Paris, 2005. Disponible sur: < http://pastel.paristech.org/1752/01/Cacho.pdf>. (consulté le 01.08.2007)

[Ciccarielo’90] CICCARIELLO J. C., POIZE S., GAS P. et al. Latice and grain boundary self-diffusion in Ni2Si: comparison with thin film formation. Journal of Applied Physics, 1990, vol. 67, no. 7, pp. 3315-3322.

Page 32: Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

158

[Colgan’96] COLGAN E. G., GAMBINO J. P., CINNINGHAM B. Nickel silicide thermal stability on polycrystalline an single crystalline silicon. Materials Chemistry and Physics, 1996, vol. 46, pp. 209-214.

D [Detavernier’00] DETAVERNIER C., VAN MEIRHAEHE, CARDON F. et al. The influence of Ti

capping layers on CoSi2 formation. Microelectronic Engineering, 2000, vol. 50, no. 1-4, pp. 125-132.

[Detavernier’03] DETAVERNIER C., LAVOIE C., D’HEURLE F. M. Thermal expansion of the isostructural PtSi and NiSi: Negative expansion coefficient in NiSi and stress effects in thin films. Journal of Applied Physics, 2003, vol. 93, n° 5, pp. 2510-2515.

G [Gaillard’06] GAILLARD N., MARIOLLE D., BERTIN F. et al. Characterization of electrical and

crystallographic properties of metal layers at deca-nanometer scale using Kelvin probe force microscope. Microelectronic Engineering, 2006, vol. 83, pp. 2169-2174.

[Gaillard’06bis] GAILLARD Nicolas. Etude des Propriétés Morphologiques, Electriques et Chimiques de l’Interface Métal/Isolant et de leur Impact sur les Performances de la Capacité TiN/Ta2O5/TiN [en ligne]. Thèse DRFMC. Grenoble: Université Joseph fourier, 2006, 196p. Disponible sur: http://tel.archives-ouvertes.fr/docs/00/14/24/84/PDF/N.Gaillard-These.pdf. (Consulté le 01.08.2007)

[Gaillard’06Ter] GAILLARD N., GROS-JEAN M., MARIOLLE D. et al. Method to assess the grain crystallographic orientation with a submicronic spatial resolution using Kelvin probe force microscope. Applied Physics Letters, 2006, vol. 89, p. 154101.

[Gas’94] GAS P. Silicides thin films formed by metal/silicon reaction: role of diffusion. Materials Science Forum, 1994, vol. 155, p. 39.

[Gas’95] GAS P., BARGE T., D’HEURLE F. M. Self-diffusion in TM silicides: tracer experiments. In : MAEX K, VAN ROSSUM M. Properties of metal silicides. London: INSPEC, 1995, pp. 189-204. (EMIS Datareviews series no. 14).

ISBN 0 85296 859 0.

[Gergaud’04] GERGAUD P., RIVERO C., GAILHANOU M. et al. Material Science Engineering, 2004, vol. B 114-115, p. 64.

[Gottlieb’95] GOTTLIEB U., NAVA F., AFFRONTE O. et al. Electrical transport in metallic TM silicides. In : MAEX K, VAN ROSSUM M. Properties of metal silicides. London: INSPEC, 1995, pp. 189-204. (EMIS Datareviews series no. 14). ISBN 0 85296 859 0.

[Guha’02] GUHA S., GUSEV E. P., OKORN-SCHMIDT H. et al. High temperature stability of Al2O3 dielectrics on Si: Interfacial metal diffusion and mobility degradation. Applied Physics Letters, 2002, vol. 81, no. 16, pp. 2956-2958.

[Gulpen’85] GULPEN J. Reactive Phase formation in the Ni-Si system. Ph. D. Thesis, Eindhoven University of Technology, Eindhoven,, 1985.

Page 33: Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

Chapitre 3: Formation du siliciure de nickel

159

H [Hauser’98] HAUSER J. R., AHMED K. Characterization of ultrathin oxides using electrical C-V

and I-V measurements. In : Proc. AIP Int. Conf. Characterization Metrology ULSI Technology, Gaithersburg, MD, Mar. 1998, pp. 235-239.

[Henson’99] HENSON W. K., AHMED K. Z., VOGEL E. M. et al. Estimating oxide thickness of tunnel oxides down to 1,4nm using conventional capacitance-voltage measurements on MOS capacitors. IEEE Electron Device Letters, Apr. 1999, vol. 20, pp. 179-181.

[d’Heurle’84] D’HEURLE F. M., PETERSSON C.S., BAGLIN J. E. E. et al. Formation of thin films of NiSi: Metastable structure, diffusion mechanisms in intermetallic compounds. Journal of Applied Physics, 1984, vol. 55, p.4208.

[Hoummada’06] HOUMmADA K., CADEL E., MANGELINCK D. et al. First stages of the formation of Ni silicide by atom probe tomography. Applied Physics Letters, 2006, vol. 89, p. 181905.

K [Kittl’03] KITTL J. A., LAUWERS A., CHAMIRIAN O. et al. Ni- and Co-based silicides for

advanced CMOS applications.

[Kittl’06] KITTL J. A., LAUWERS A., HOFFMAN T. et al. Linewidth efect and pase control in Ni fully silicided gates. IEEE Electron Device Letters, 2006, vol. 27, no. 8, pp. 647-649.

[Krivokapic’03] KRIVOKAPIC Z., MASZARA W., ARASNIA F. et al. High performance 25nm FDSOI devices with extremely thin silicon channel. In : Symposium on VLSI Technology Digest, 2003.

L [Lauwers’98] LAUWERS A., BESSER P., DE POTTER M. et al. Performance and manufacturability

of the Co/Ti (cap) silicidation process for 0.25µm MOS technologies. In : Proceedings of the IEEE 1998 International Interconnect Technology Conference, 1998, p. 99-101.

[Lauwers’01] LAUWERS A., STEEGEN A., DE POTTER M. et al. Materials aspects, electrical performance, and scalability of Ni silicide towards sub-0.13 µm technologies. Journal of Vacuum Science & Technology B, 2001, vol. 19, no. 6, pp. 2026-2037.

[Lauwers’04] LAUWERS A., KITTL J. A., VAN DAL M. et al. Low temperature spike anneal for Ni-silicide formation. Microelectronic Engineering. 2004, vol. 76, pp. 303-310.

[Lavoie’03] LAVOIE C., D’HEURLE F. M., DETAVERNIER C. et al. Towards implementation of a nickel silicide process for CMOS technologies. Microelectronic Engineering, 2003, vol. 70, p. 144.

[Lavoie’04] LAVOIE C., DETAVERNIER C., BESSER P. Nickel silicide technology. In : CHEN L. J. Silicide technology for integrated circuits. The Institution of Electrical Engineers, London, 2004, pp. 95-151.

[Lien’84] LIEN C. D., NICOLET M. A., LAU C. S. et al. Kinetics of CoSi2 from evaporated silicon. Applied Physic A, 1984, vol. 34, pp. 249-251.

Page 34: Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

160

[Lien’85] LIEN C. D., NICOLET M. A., PAI C. S. et al. Growth of Co-silicides from single crystal and evaporated Si. Applied Physic A, 1985, vol. A36, no. 3, p. 153.

M [Mayer’92] MAYER J. T., LIN R. F., GARFUNKEL E. Surface and bulk diffusion of adsorbed

nickel on ultrathin thermally grown silicon dioxide. Surface Science, 1992, vol. 265, no. 1-3, pp. 102-110.

N [Nemouchi’05] NEMOUCHI F., MANGELINCK D., BERGMAN C. et al. Différential scanning

calorimetry analysis of the linear parabolic growth of nanometric Ni silicide thin films on a Si substrate. Applied Physics Letters, 2005, vol. 86, p. 041903.

[Nicolet’83] NICOLET M. A., LAU S. S. Formation and characterization of transition-metal silicides. In : VLSI Electronics, Materials and process characterization. Academic Press, 1983, pp. 330-464. (Microstructure Science, vol. 6)

O [Olowolafe’76] OLOWOLAFE J. O., NICOLET M. A., MAYER J. W. Influence of the nature of the

Si substrate on nickel silicide formed from thin Ni films. Thin Solid Films, 1976, vol. 38, no. 2, p. 143.

[Ostling’95] OSTLING M., ZARING C. Mechanical properties of TM silicides. In : MAEX K, VAN ROSSUM M. Properties of metal silicides. London: INSPEC, 1995, pp. 15-30. (EMIS Datareviews series no. 14).

ISBN 0 85296 859 0.

P

[Pawlak’06] PAWLAK M. A., LAUWERS A., JANSSENS T. et al. Modulation of the workfunction of Ni fuly silicided gates by doping: Dielectric and silicide pase effects. IEEE Electron Device Letters, 2006, vo. 27, no. 2, pp. 99-101.

Q [Quevedo’01] QUEVEDO-LOPEZ M., EL-BOUANNI M., ADDEPALI S. et al. Thermally induced

Zr incorporation into Si from zirconium silicate thin films. Applied Physics Letters, 2001, vol. 79, no. 18, pp. 2958-2960.

R [Ramamurthy’04] RAMAMURTHY S., RAMACHANDRAN B., BYUN J. S. et al. Nickel silicides in

semiconductor processing: thermal budget considerations. Materials Science and Engineering B, 2004, vol. 114-115, pp. 46-50.

Page 35: Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

Chapitre 3: Formation du siliciure de nickel

161

[Ribes’05] RIBES G, BRUYERE S., DENAIS M. et al. MVHR (Multi-Vibratinal Hydrogen Release): Consistency with bias temperature instability and dielectric breakdown. In : IRPS, 2005, pp. 377-380.

[Rivero’05] RIVERO C. Contraintes mécaniques induites par les procédés de la microélectronique: Développement des contraintes lors des réactions Co-Si et Ni-Si. Thèse de doctorat, Aix-Marseille III, 2005.

S [Scott’81] SCOTT D. M., NICOLET M. A., Implanted oxygen in NiSi formation. Physica Status

Solidi A, 1981, vol. 66, no. 2, pp. 773-778.

[Shewmon’63] SHEWMON P. G. Diffusion in solids. New York: McGraw Hill, 1963, p. 64.

[Steegen’99] STEEGEN A., DE WOLF I., MAEX K. Characterization of the local mechanical stress induced during the Ti and Co/Ti salicidation in sub-0,25µm technologies. Journal of Applied Physics, 1999, vol. 86, n° 8, pp. 4290-4297.

[Steegen’99bis] STEEGEN A., STUCCHI M., LAUWERS A. et al. Silicide induced pattern density and orientation dependant transconductance in MOS transistors. In : IEDM, Dec. 1999, Washington. 1999, pp. 497-500.

[Steegen’00] STEEGEN A., LAUWERS A., DE POTTER M. et al. Silicide and shallow trench isolation line width dependent stress induced junction leakage. In: IEEE symposium on VLSI Technology, June 2000, Honolulu. 2000, pp. 180-181.

T [Torregiani’04] TORREGIANI C., LIU J., VANDEVELDE B. et al. A finite element study of process

induced stress in the transistor channel: Effets of silicide contact and gate stack. In: EuroSime conference, 2004, pp. 61-68.

[Torregiani’05] TORREGIANI C., KITTL J. A.; CAPPON S.; VANHOYLAND G.; BRONGERSMA S.; LAUWERS A.; VAN HOUTTE P.; MAEX K. Study of stress evolution during full silicidation for gate stacks. In: Proceedings - Electrochemical Society , May 2005, Quebec. 2004, pp. 249-256.

[Tu’75] TU K. N., CHU W. K., MAYER J. W. Structure and growth kinetics of Ni2Si on Si. Thin Solid Films, 1975, vol. 27, pp. 403-413.

W [Weinberg’82] WEINBERG Z. A., On tunneling in metal-oxide-silicon structures. Journal of Applied

Physics, 1982, vol. 53, n°7, pp. 5052-5056.

[Welsel’03] WELSEL U., LEONI M., MITTEMEIJER E. J. The determination of stresses in thin films; modelling elastic grain interaction. Philosophical magazine, 2003, vol. 83, n° 5, pp.603-630.

[Wilk’01] WILK G. D., WALLACE R. M. ANTHONY J. M. et al. High-k gate dielectrics: Current status and materials properties considerations. Journal of Applied Pysics, 2001, vol. 89, no. 10, pp.5243-5275.

Page 36: Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

162

[Wilson’92] WILSON D.F., CAVIN O. B. Thermal expansion behavior of NiSi/NiSi2. Scripta Metallurgica et Materialia, 1992, vol. 26, n° 1, pp. 85-88.

[Wolters’85] WOLTERS D. R., VAN DER SCHOOT J. J. Dielectric breakdown in MOS devices – Part III: the damage leading to breakdown. Phiips J. Res., 1985, vol. 40, p. 164.

Y [Yu’06] YU H. Y., KITTL J. A., LAUWERS A. et al. Demonstration of a new approach

towards 0.25V low-Vt CMOS using Ni-based FUSI. In : International Electron Device Meeting Technical Digest, Dec. 2005, Washington. 2005, pp. 653-656.

Z [Zhao’06] ZHAO P., TRACHTENBERG I., KIM M. J. et al. Ni diffusion studies from fully-

silicided NiSi into Si. Electrochemical and Solid-State Letters, vol. 9, no. 4, pp. G111-113.

[Zhou’93] ZHOU J. B., GUSTAFSSON T., LIN R. F. et al. Medium energy ion scattering study of Ni on ultrathin films of SiO2 on Si(111). Surface Science, 1993, vol. 284, no. 1-2, pp. 67-76

Page 37: Chapitre 3 Formation du siliciure de nickeldocinsa.insa-lyon.fr/these/2007/aime/8_chapitre_3.pdf · la grille en s’affranchissant des problèmes de siliciuration profonde des

Chapitre 3: Formation du siliciure de nickel

163