213
N° d’ordre 2009-ISAL-0055 Année 2009 Thèse Contribution à une plate forme d’aide à la conception de Microsystème Présentée devant L’institut national des sciences appliquées de Lyon Pour obtenir Le grade de docteur ÉCOLE DOCTORALE : ÉLECTRONIQUE ÉLECTROTECHNIQUE AUTOMATIQUE SPÉCIALITÉ : DISPOSITIF DE L’ÉLECTRONIQUE INTEGRÉE Par Rima HAMOUI (Ingénieur) Soutenue le 15 Juillet devant la Commission d’examen Jury MM. Directeur de thèse Nacer ABOUCHI Professeur CPE LYON Examinateur Daniel BARBIER Professeur INSA LYON Examinateur Thierry TIXIER Ingénieur de recherche CPE LYON Examinateur Cyril CONDEMINE Docteur, CEA-LETI Rapporteur Richard GRISEL Professeur Université de Rouen Rapporteur Patrick MARTIN IUT de Rouen Laboratoire de recherche : Institut Nanotechnologie de Lyon (INL) 1

Contribution à une plate forme d’aide à la conception de ...theses.insa-lyon.fr/publication/2009ISAL0055/these.pdf · delta converter. The conceptual basis of the platform presented

Embed Size (px)

Citation preview

N° d’ordre 2009-ISAL-0055 Année 2009

Thèse

Contribution à une plate forme d’aide à la conception de Microsystème

Présentée devant

L’institut national des sciences appliquées de Lyon

Pour obtenir Le grade de docteur

ÉCOLE DOCTORALE : ÉLECTRONIQUE ÉLECTROTECHNIQUE

AUTOMATIQUE SPÉCIALITÉ : DISPOSITIF DE L’ÉLECTRONIQUE INTEGRÉE

Par

Rima HAMOUI (Ingénieur)

Soutenue le 15 Juillet devant la Commission d’examen

Jury MM.

Directeur de thèse Nacer ABOUCHI Professeur CPE LYON Examinateur Daniel BARBIER Professeur INSA LYON Examinateur Thierry TIXIER Ingénieur de recherche CPE LYON

Examinateur Cyril CONDEMINE Docteur, CEA-LETI Rapporteur Richard GRISEL Professeur Université de Rouen Rapporteur Patrick MARTIN IUT de Rouen Laboratoire de recherche :

Institut Nanotechnologie de Lyon (INL)

1

Contribution à une plate forme d’aide à la conception de Microsystème

Résumé

La conception des architectures mixtes contitue aujourd’hui un défi à bien des égards. Cette thèse apporte une contribution à l’élaboration de méthodologies et d’outils d’aide à la conception de microsystèmes multi-domaines. Dans le cadre de nos travaux de recherche, nous développons une plateforme d’aide facilitant la conception de la partie interfaçage entre le capteur et l’électronique associée. Cette plate forme accueil deux type outils :- un générateur automatique de modèles de capteurs en VHDL-AMS. La finalité étant d’apporter une aide au non- spécialistes, afin qu’ils puissent, avec un minimum d’expérience dans le domaine de la conception d’interfaces capteurs ou dans le domaine de la modélisation, modéliser un capteur en VHDL-AMS et ainsi le simuler avec son environnement électronique. En effet seul la connaissance de la physique du capteur à interfacer est nécessaire ; - des outils permettant la synthèse optimale des architectures analogiques. Les méthodes emplementée reposent sur une décomposition hiérarchique des systèmes, et s’associe à une élévation du niveau d’abstraction. Ainsi, elles permetent d’envisager les fonctions analogiques élémentaires comme des composants virtuels et réutilisables suivant les besoins définis au niveau système. Elles sont mise en œuvre dans le cas d’une interface capteur à base de convertisseur de type sigma delta.

Une telle plate-forme repose sur des bases conceptuelles alliant méthodes de conception (approches descendante et ascendante) et méthodes de modélisation (fonctionnelle, comportementale et structurelle, physique). Mots clés : microsystèmes - automatisation - synthèse analogique - interface capteur - VHDL-AMS - outils CAO.

Abstract

Designing mixed architectures is a challenging problem. This thesis is a contribution toward the development of tools and methodologies for multi-domain microsystems design. In this work, a platform is developed for facilitating the design of the interface between the sensor and the associated electronics. Two types of tools are developed - an automatic generator of sensor models in VHDL-AMS, and an optimal synthesizer of analog architectures. The

2

objective is to enable a non-specialist, with minimum experience in modeling or sensor and interface design, to model a sensor in VHDL-AMS, and simulate it along with its electronic environment. Only a basic knowledge of sensor physics is required. The methods are based on a hierarchical decomposition of the system at a higher level of abstraction, and therefore, require only elementary analog functions such as virtual and reusable components according to the needs of the system. They are implemented for an interface sensor containing a sigma-delta converter. The conceptual basis of the platform presented in this thesis is a combination of design (upward/downward approaches) and modeling (functional, behavioral, structural, and physical) methods. Key words: microsystems - automation - analogical synthesis - interface sensor - VHDL-AMS - tools CAD.

3

Table de matière REMERCIEMENTS ..................................................................................... 7

Introduction générale............................................................................................8 Motivation ..........................................................................................................8 Objectif général ................................................................................................11 Organisation du document .................................................................................12

CHAPITRE 1............................................................................................. 15

ÉTAT DE L'ART : CONCEPTION DE MICROSYSTEMES METHODOLOGIE ASSOCIEE ............................................................................................... 15

1 État de l'art : conception de Microsystèmes méthodologie associée ..........16 1.1. Introduction.......................................................................................16 1.2. Développement des outils de conceptions des microsystèmes: ...........18

1.2.1. Définition des microsystèmes ............................................................18 1.2.2. Le marché des microsystèmes et les applications industrielles: ..........21 1.2.3. État de l'art de la conception de Microsystèmes : ..............................26

1.3. Le test de microsystèmes ...................................................................28 1.4. Outils et plateformes d’aide à la conception de microsystème:..........29

1.4.1. Les outils de conception automatisés ................................................30 1.4.2. Les outils commerciaux....................................................................32 1.4.3. Les outils basés sur l'approche de Co-simulation : ............................34

1.5. Structure globale d’un environnement de conception de microsystème .........................................................................................................38

1.5.1. Méthodologie de conception de microsystèmes.................................40 1.5.1.1. La conception descendante ........................................................41 1.5.1.2. La conception ascendante ..........................................................44

1.5.2. La problématique de la conception ...................................................45 1.5.2.1. La conception de la plateforme ..................................................47 1.5.2.2. L’automatisation de la conception analogique............................48

1.6. Conclusion ........................................................................................51

CHAPITRE 2............................................................................................. 53

AUTOMATISATION DE LA MODELISATION DE CAPTEUR EN VHDL-AMS................................................................................................................. 53

2. Automatisation de la modélisation de capteurs en VHDL-AMS ................54 2.1. Introduction: ....................................................................................54 2.2. Modélisation générale .......................................................................56 2.2.1. Méthode de modélisation de capteur .................................................59

2.2.2. Modélisation d’un transducteur en VHDL-AMS ...............................63 2.2.3. Exemple : Accéléromètre à peigne capacitif ....................................68

4

2.3. Générateur automatique d’un modèle de capteur en VHDL-AMS ......72 2.3.1. Analyser le projet .............................................................................77

2.3.2.1. Structure de la base de données .................................................78 2.3.1.2. Description des tables................................................................79

2.3.2. Travail réalisé : présentation du générateur.......................................84 2.4. Conclusion ........................................................................................90

CHAPITRE 3 : .......................................................................................... 92

AUTOMATISATION DE LA CONCEPTION ANALOGIQUE ...................... 92

3. Automatisation de la conception analogique .............................................93 3.1. Introduction.......................................................................................93 3.2. Conception des circuits analogiques et mixtes ...................................95

3.2.1. Challenge de la méthodologie et des outils de la conception analogique et numérique................................................................................98

3.3. Nécessité de l'électronique et d’outils CAO analogiques..................101 3.3.1. Méthodologie de la conception analogique .....................................102 3.3.2. Flot de conception analogique manuel ............................................105 3.3.2.1. Synthèse de fonction analogique..................................................109

3.3.2.2. Choix de la topologie...............................................................112 3.3.2.3. Dimensionnement ....................................................................113 3.3.2.4. Génération de layout................................................................115

3.3.3. Automatisation de la conception analogique ...................................115 3.3.3.1. Objectif de la conception analogique automatisée ....................116 3.3.3.2 Critères de succès d’une conception automatisée .....................117 3.3.3.3. Principe de flot de conception automatisée .............................118

3.4. Interface graphique de la conception électronique............................121 3.4.1. Co- simulation Matlab/Cadence et évaluation des performances .....123 3.4.2. Conception niveau Composant .......................................................127

3.5. Conclusion ......................................................................................134

CHAPITRE 4 : ........................................................................................ 136

MISE EN ŒUVRE SUR UN CAPTEUR D’HUMIDITE............................... 136

4. Mise en œuvre sur un capteur d’humidité ................................................137 4.1. Introduction.....................................................................................137 4.2. Capteur d’humidité..........................................................................139

4.2.1. Modélisation d’un capteur d’humidité ............................................140 4.2.2. Retranscription du modèle en VHDL-AMS à l'aide du logiciel .......144 4.2.3. Modélisation et résultats de simulation ...........................................149

4.3. Différentes architecture de l’interface capteur programmable ..........151 4.3.1. Première architecture : le capteur hors la chaîne de mesure.............151 4.3.2. Deuxième architecture : intégration de capteur dans la chaîne de mesure .....................................................................................................155

4.4. Le convertisseur sigma-delta ...........................................................157 4.4.1. Le modulateur sigma-delta .............................................................159

5

4.4.2. Le principe de fonctionnement du modulateur Sigma delta ............159 4.4.2.1. Modulateur Sigma-delta d’ordre 1 ...........................................161 4.4.2.2. Modulateur Sigma delta d’ordre 2............................................162

4.4.3. Les imperfections ...........................................................................165 4.4.3.1. Clock jitter. .............................................................................167 4.4.3.2. Le bruit de l’amplificateur opérationnel ...................................168 4.4.3.3. Le gain fini de l’AOP. .............................................................170 4.4.3.4. La tension de saturation de l’AOP ...........................................171 4.4.3.5. Slow rate .................................................................................171 4.4.3.6. Bruit thermique .......................................................................172

4.5. Microsystème entier ........................................................................173 4.6. Application de la synthèse analogique : sous bloc AOP de l’intégrateur du SD .......................................................................................................174 4.7. Conclusion ......................................................................................181

Conclusion générale et perspective...................................................................183 Contexte et objectif .........................................................................................183 Concept et perspective ....................................................................................184

TABLE DE FIGURE ................................................................................ 187

LES REFERENCES : .............................................................................. 191

6

Remerciements

Je tiens tout d’abord à remercier Monsieur Nacer ABOUCHI, mon directeur de thèse, enseignant chercheur et directeur de la filière Electronique Télécommunications Informatique de CPE Lyon. Qu’il soit assuré de ma reconnaissance pour m’avoir proposé ce sujet de recherche, et pour la confiance qu’il m’a témoignée.

Je remercie également Monsieur Daniel BARBIER, Professeur à l’INSA de Lyon, pour m’avoir encouragé à entreprendre cette thèse à l’issue de mon DEA.

Je remercie Messieurs Richard GRISEL et Patrick MARTIN, qui ont accepté de juger ce travail et d’en être les rapporteurs.

J’adresse mes remerciements à Monsieur Cyril CONDEMINE, pour avoir accepté de participer au jury de thèse.

Je tiens également à remercier Monsieur Thierry TIXIER, d’avoir accepté de participer au jury de cette thèse, et, pour toute son aide et ses compétences scientifiques qui m'ont permis de mener à bien cette étude.

Cette thèse n’aurait pas été aussi enrichissante sans la présence et l’expérience de nombreuses personnes. Je pense en particulier, Madame Yasmina FELLAH, et Monsieur Lioua LABRAK, pour m’avoir conseillé et soutenu durant mes recherches. Leur grande disponibilité et leurs conseils constructifs m’ont beaucoup aidé tout au long de mes recherches.

Je remercie mon amie Madame Taghrid ASFOUR, pour son soutien et son aide précieuse.

Enfin, il m’est très difficile de remercier à sa juste valeur, ma famille, mon père Nizar, ma mère Chahira, ma sœur Mayssa, mes frères Hicham et Maher, pour leur soutien sans faille durant ces années passé loin eux, et ma belle-famille pour leurs encouragements.

Mon dernier remerciement va directement à la personne qui a partagé au plus près cette aventure avec moi, celui qui a été ma motivation permanente, celui qui a œuvré dans l’ombre, mon mari Malik : merci pour son amour, sa patience, sa compréhension et son soutien sans égal au cours de ces années.

Cette thèse n’aurait eu aucun sens sans la présence de mon ange, mon fils Rami, qui colorie ma vie.

7

Introduction générale

Introduction générale

Motivation Les progrès des dernières décennies dans le domaine de la microélectronique s’expliquent non seulement par le perfectionnement des procédés de fabrication des circuits intégrés, mais aussi par l’intervention de l’informatique à travers la Conception Assistée par Ordinateur. En effet, lors de la conception d’un circuit intégré, outre les principaux critères d’optimisation utilisés (surface de la puce, rapidité de fonctionnement, sécurité de fonctionnement, consommation...), le temps de conception lui-même est un paramètre très important. A mesure qu’augmente le nombre de transistors intégrés sur une puce, l’aide apportée par la CAO devient primordiale et la simulation du circuit avant fabrication incontournable. Or cette étape de simulation peut être considérablement ralentie en raison de la complexité croissante des circuits soumis aux logiciels de simulation.

La modélisation comportementale apporte une réponse à ce problème. Au lieu de décrire un circuit au niveau structurel (transistor par transistor), il est décrit au niveau comportemental en modélisant son comportement électrique externe.

D’autre part, l’intégration de systèmes complets sur une seule puce, le « System On Chip » (SOC), fait appel à des fonctions appartenant à des domaines aussi variés que les radiofréquences, la conversion analogique-numérique, le traitement numérique, mais aussi la mécanique (capteurs, micro-actionneurs…), l’optique (fibres optiques….).

Le concept de Microsystème est né, à la fin des années 80, aux États-Unis, des actions conduites à l’université de Berkeley pour intégrer, sur une même puce de silicium, capteurs, traitement du signal et actionneurs. L’intégration de certains capteurs avec leur traitement de signal était déjà bien explorée depuis quelques années; la nouveauté tenait à l’intégration des actionneurs électrostatiques sous forme de moteurs rotatifs ou linéaires. Ce concept a très rapidement suscité un vif intérêt dans le monde. Appelé MEMS (Micro Electro Mechanical Systems) aux États-Unis, il s’est appelé Micromachines au Japon et MST (Microsystèmes Technologies) en Europe. On utilise en France le terme de Microsystème. Les raisons de cet intérêt et de la mobilisation qui s’en est suivie sont au moins au nombre de deux :

du point de vue du chercheur, ce concept pose des questions nouvelles en termes de matériaux, de compatibilité technologique et de méthodologies de conception des systèmes ;

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 8

Introduction générale

du point de vue de l’ingénieur, il y a, dans le concept, des perspectives d’intégration et de fabrication collective de nouveaux produits qui, par leur faible coût, devraient rapidement pénétrer des marchés tenus par des produits assemblés de manière plus classique et même ouvrir de nouveaux marchés, ne serait-ce que par le côté attractif de la réduction des dimensions.

En dix années, la situation a beaucoup évolué. De nombreux exemples de réalisations ont été explorés. Des premières générations de produits ont été commercialisées. On peut considérer aujourd’hui que la faisabilité est acquise et que l’on s’engage dans une deuxième grande étape de recherche-développement de produits nouveaux en vue de leur industrialisation.

Ce recul de dix ans nous permet aussi de mieux délimiter le champ des microsystèmes :

les microsystèmes se situent dans le prolongement de la microélectronique à laquelle ils empruntent le matériau (le silicium) et les technologies de base (photolithographie, oxydation, implantation, diffusion, dépôts de couches isolantes et métalliques). Ils y introduisent de nouvelles opérations de micro-usinage (micro-usinage de volume, micro-usinage de surface, dépôts de couches actives sensorielles) ; les microsystèmes s’interfacent avec de nombreuses méthodes et

technologies développées dans d’autres disciplines (Figure 1.1) : micromécanique, micro-optique, chimie et biochimie, électromagnétique..., dans une démarche d’intégration globale, hétérogène ; les technologies microsystèmes associent l’approche monolithique

tout silicium, qui en est le fondement stratégique, avec les assemblages hybrides qui apportent des solutions immédiates et efficaces à l’intégration système. Cela permet d’associer plus aisément des technologies diverses en ne résolvant que les problèmes d’interconnexions électriques, fluidiques et optiques.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 9

Introduction générale

Figure 1.1. Multidisciplinarité dans la conception et la réalisation des microsystèmes

L’avènement de langages de description du matériel pour les circuits

analogiques et mixtes, tels que le langage VHDL-AMS (Very High Speed Integrated Circuits Hardware Description Language for Analogue and Mixed Signal) ; offre maintenant les outils nécessaires au développement des nouvelles méthodologies de conception. Le langage permet de décrire et modéliser, outre les comportements électriques, les comportements thermiques, mécaniques… ou d’autres définis par l’utilisateur. Ainsi il est possible de simuler le fonctionnement du système complet dans son environnement (thermique, radiatif…) et de vérifier qu’il est conforme aux spécifications initiales.

A l’heure actuelle, les petites et moyennes séries de composants mécaniques, électroniques, optiques rencontrées dans les produits électroniques de grande consommation (caméras, téléphones portables…) tendent vers les dimensions submillimétriques pour des poids de quelques grammes. Les chaînes robotisées de grande taille mises en œuvre sont souvent complexes et onéreuses.

Dans un contexte industriel de maîtrise des coûts de production, d’espace de travail et de diminution des dépenses énergétiques, de nouvelles approches basées sur des systèmes micro robotisées sont actuellement recherchées. Ceci conduit actuellement à l’émergence de nouveaux concepts tels que les "Micro-Usines" intelligentes du 21ème siècle.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 10

Introduction générale

Objectif général Cette thèse fait partie des travaux de recherche du groupe conception microélectronique hétérogène de l’INL (Institut de Nanotechnologie de Lyon)

Nos travaux s’inscrivent dans le développement d’une plateforme d’aide à la conception de microsystèmes. Plus particulièrement, il s’agit de concevoir une unité pour l’interfaçage de capteurs de nature différente. Cette unité d’interfaçage doit permettre la synthèse de l’architecture d’un convertisseur analogique numérique à partir de données relatives au capteur (bande passante du signal, résolution, etc.). Cette unité doit se présenter sous la forme d’un composant analogique virtuel, reconfigurable en fonction des spécifications du capteur

Afin d’attendre cet objectif, cette thèse es divise à deux grands axes : Premier axe: Créer un générateur automatique de modèles VHDL-AMS de

microsystèmes (capteurs) pouvant être utilisés dans un environnement de simulation comprenant éventuellement plusieurs outils de CAO développons une plateforme d’aide à la conception de microsystèmes. En particulier, cette plateforme propose un outil d’aide facilitant la conception de la partie interfaçage entre le capteur et l’électronique associée. Cet outil d’aide à la génération automatique des modèles de capteurs en VHDL-AMS. La finalité étant d’apporter une aide au non spécialistes, afin qu’ils puissent, avec un minimum d’expérience dans le domaine de la conception d’interfaces capteurs ou dans le domaine de la modélisation, modéliser un capteur en VHDL-AMS et ainsi le simuler avec son environnement électronique. En effet, seule la connaissance de la physique du capteur à interfacer est nécessaire.

Le modèle obtenu peut, par la suite, venir enrichir une base de données de modèles de capteurs pour une éventuelle réutilisation.

Deuxième axe: Automatiser la phase de la conception du système électronique de

l’interface capteur, ce système est le convertisseur analogique numérique CAN (dans notre travail on a pris comme exemple le convertisseur sigma-delta) en prenant en compte l’hétérogénéité des systèmes et des logiciels utilisées.

La part importante de notre travail se situe donc dans la définition et la mise au point de méthodes et d’outils de conception automatique d’une partie analogique d’un microsystème. C’est un objectif d’une grande actualité dans le

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 11

Introduction générale

sens où les méthodes et les outils de la conception électronique ne sont pas suffisants pour traiter :

La modélisation des différents types de composants analogiques/numériques, de différents domaines d’énergie mécanique/thermique. L’intégration des différents simulateurs dans un seul

environnement de simulation globale : une simulation multiplateforme reliant différents logiciels de conception (Simplorer, Matlab, Cadence) et fonctionnant sous différents systèmes d’exploitation (Windows- Unix).

La conception d’un système automatisé pose un grand nombre de problèmes matériels et logiciels. Elle nécessite l’implantation d’algorithmes complexes sur des architectures matérielles souvent distribuées et hétérogènes. Afin de gérer au mieux cette complexité les méthodes de développement employées dans les projets sont généralement basées sur un principe de hiérarchisation permettant de décrire le système comme un ensemble de sous-systèmes plus simples et donc théoriquement plus faciles à concevoir. Il s’agit de la méthodologie descendante dite "top down". Cette méthodologie permet d’envisager les fonctions analogiques élémentaires comme des composants virtuels et réutilisables suivant des besoins définis au niveau système.

Ce principe est appliqué dans le cycle de développement le plus utilisé actuellement pour la conception des aspects matériels et logiciels : le cycle en V. Il permet selon une hiérarchisation descendante par étape, d’aboutir à la conception détaillée d’une application à partir d’une description abstraite.

L’automatisation du flot de conception intervient alors pour favoriser et rendre systématique la réutilisation des composants virtuels (ou propriétés intellectuelles, IP).

Organisation du document Pour mieux appréhender la problématique étudiée dans nos travaux, nous avons articulé le manuscrit en quatre chapitres.

Premier chapitre : État de l'art : conception de Microsystèmes et méthodologie associée :

Le premier chapitre divisé en trois parties : La première présente la notion de microsystème, ses applications

industrielles et l’état de l’art sur la conception de microsystème. La deuxième consacré aux outils et plateformes existantes d’aide à

l’automatisation de la conception analogique.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 12

Introduction générale

La dernière partie aborde la structure globale de l’environnement de microsystème et la méthodologie de conception avec les deux approches descendante et ascendante, en terminant par la problématique de la conception multi domaine.

Deuxième chapitre : Automatisation de la modélisation du capteur en

langage VHDL-AMS Ce chapitre présente la première partie de notre plateforme, qui représente

le générateur automatique d’un modèle de capteur en VHDL-AMS. Cet outil permet à l’utilisateur de générer un modèle VHDL-AMS de capteur sans beaucoup de connaissance en interfaçage ou en langage de modélisation, seule la connaissance du capteur à interfacer lui est nécessaire. Ces modèles peuvent être ajoutés à une bibliothèque de cellules standards, le travail demandé consiste, dans un premier temps, à se familiariser avec la modélisation comportementale, à base de VHDL-AMS, de cellules standards, et dans un deuxième temps de créer et tester divers modèles de capteurs génériques (humidité, accéléromètre, vitesse,…).

Donc, ce chapitre est divisé en plusieurs points : La classification de capteurs La méthode de modélisation du capteur La structure de l’environnement VHDL-AMS L’organisation de la base de données L’interface graphique du générateur

Un accéléromètre à peigne capacitif a été pris en compte pour appliquer notre méthodologie de modélisation et présenter le principe de fonctionnement du générateur automatique.

Troisième chapitre : Automatisation de la conception analogique Afin de réaliser le deuxième objectif de notre travail « l’automatisation de

la conception analogique », le flot de conception analogique manuel et automatique sera bien détaillé dans ce chapitre, ainsi que les critères de succès d’une conception automatisée et notre approche de solution.

La seconde partie du chapitre est dédiée à la mise en œuvre à une interface graphique, qui permet de piloter la synthèse de l’architecture d’un convertisseur analogique numérique à partir des données relatives au capteur (bande passante du signal, résolution…etc.)

Quatrième chapitre : Mise en œuvre sur un capteur d’humidité Le dernier chapitre est consacré à l’application de la méthode de

conception, et des techniques d’optimisation, dans le cas d’un modulateur de convertisseur analogique numérique de type sigma delta.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 13

Introduction générale

Le cas des interfaces capteur est exposé par rapport au contexte de recherche dans lequel les travaux ont été menés. La méthode d’optimisation développée pour les différentes phases de la synthèse des circuits analogiques est exposée.

Deux applications traitées à l’aide de notre plateforme seront présentées: la génération automatique du code VHDL-AMS pour un capteur d’humidité et l’étude de l’interface électronique « le convertisseur sigma delta ».

La deuxième application est l’amplificateur opérationnel OTA dimensionné par notre approche programmé.

Une conclusion générale viendra clore ce manuscrit et donnera les perspectives de notre travail.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 14

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

Chapitre 1 État de l'art : conception de Microsystèmes méthodologie associée Sommaire

1.1. Introduction : ................................................................................ 16 1.2. Développement des outils de conceptions des microsystème: ........ 18

1.2.1. Définition des microsystèmes:....................................................... 18 1.2.2. Le marché des microsystèmes et les applications industrielles:...... 21 1.2.3. État de l'art de la conception de microsystème : ............................ 26

1.3. Le teste de microsystèmes : ........................................................... 28 1.4. Outils et plateforme d’aide à la conception de microsystème........ 29

1.4.1. Les outils de conception automatisés:........................................... 30 1.4.2. Les outils commerciaux................................................................. 32 1.4.3. Les outils basés sur l'approche de Co-simulation : ......................... 34

1.5. Structure globale d’un environnement de conception microsystème…. .......................................................................................... 38

1.5.1. Méthodologie de conception de microsystème.............................. 40 1.5.1.1. La conception descendante..................................................... 41 1.5.1.2. La méthodologie ascendante .................................................. 44

1.5.2. La problématique de la conception ............................................... 45 1.5.2.1. La conception de la plateforme : ............................................ 47 1.5.2.2. L’automatisation de la conception analogique ........................ 48

1.6. Conclusion: ................................................................................... 51

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 15

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

1 État de l'art : conception de Microsystèmes méthodologie associée

1.1. Introduction : Les micros technologies sont un ensemble de techniques de fabrication permettant de réaliser des structures de l’ordre du micromètre. C’est typiquement le cas des microsystèmes qui sont touchés par l’engouement des industriels pour la miniaturisation. Les microsystèmes ont la particularité de pouvoir intégrer sur un même substrat des parties aussi différentes que des capteurs, des actionneurs, ou une partie microélectronique de commande et de traitement de l’information. C’est la cohabitation sur une surface très réduite de ces différentes technologies dédiées à divers domaines de la physique (électronique, mécanique, optique, électro et magnétostatique, chimie…) qui rend les microsystèmes si attractifs et si complexes à réaliser. Leur principal avantage est la réduction de l'encombrement et de la masse du dispositif, ce qui est très important pour de nombreuses applications médicales ou spatiales. On le voit bien, les microsystèmes sont maintenant assez matures pour les applications industrielles.

Un microsystème consiste en l’assemblage dans un même composant, et sur une surface très réduite, d’une chaîne cohérente complète comprenant : un ou plusieurs capteurs, un ou plusieurs actionneurs, un ensemble de circuits électroniques de contrôle et de traitement. La conception d’un tel système fait appel à de multiples compétences.

Les concepteurs de microsystèmes sont ainsi appelés à travailler sur des domaines requérant leurs propres compétences (la microélectronique pour les uns, la physique pour les autres) mais également des domaines illevait d’autre compétence.

La conception est définie comme l’étape essentielle entre l’étude architecturale et la fabrication d’un système. Les nombreuses itérations nécessaires pour la production d’un seul composant microsystème (qui varient entre la modélisation, la simulation et l’optimisation de composant microsystème), rendent cette opération très coûteuse ; d’où la nécessité d’une nouvelle génération d’outils CAO pour microsystème capables de réduire le temps et l’effort de conception.

L'utilisation de technologies issues de la microélectronique et de l'optronique telles que les technologies couches minces ou couches épaisses

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 16

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

assurent, outre de meilleures performances métrologiques, un progrès dans la miniaturisation, et souvent la réduction de consommation et des temps de réponse. L'exploitation massive de ces technologies permet non seulement de développer des capteurs de très faibles dimensions, mais aussi de fabriquer plusieurs capteurs sur un Wafer, et parfois même plusieurs capteurs de type différent, ceci constitue un grand pas vers la production de masse à faible coût et la reproductibilité des caractéristiques d’un capteur à un autre.

En pratique, plusieurs procédés de fabrication vont être exploités concurremment pour réaliser les structures mécaniques, les deux types de technologies les plus utilisées étant le micro-usinage en surface [How88] et le micro-usinage en volume [KOV98]. L’une des différences essentielles entre les microsystèmes et les composants électroniques tient au fait que les premiers doivent posséder des propriétés mécaniques maîtrisées. Ceci revient à dire qu'il est indispensable d’éliminer les contraintes et tensions résiduelles dans les films de poly silicium qui représentent souvent l'élément sensible dans les microsystèmes. La mise en œuvre des microsystèmes est par conséquent plus complexe que la réalisation des composants électroniques intégrés.

Dans la littérature, deux termes sont utilisés pour décrire ces systèmes : le terme «Microsystèmes» employé principalement par les européens et le terme « MEMS » (Micro Electro Mechanical Systems) utilisé surtout dans la littérature américaine. Souvent, les microsystèmes sont dits hybrides, c'est-à-dire que la partie capteur ou actionneur et la partie électronique de traitement ne sont pas réalisées sur le même substrat de silicium et sont indépendantes. Actuellement, la tendance est à coupler dans un même microsystème à la fois l'aspect microélectronique et l'aspect micromécanique, voir micro optique, donnant naissance à une nouvelle discipline, celle des MEMS monolithiques, c’est-à-dire des microsystèmes 3-D, dont le développement ouvre des perspectives nouvelles vers de nombreuses applications [WAL01]. Le terme monolithique est employé pour désigner les microsystèmes couplant sur le même substrat de silicium l'électronique d’interface (assez complexe) et les parties mécaniques.

Sur les questions plus fondamentales des méthodes et outils de conception, notre travail s’inscrit dans une dynamique de groupe autour de la plateforme d'aide à la conception de microsystèmes permet de la modélisation et la simulation de microsystème dans son ensemble.

Il y a donc un intérêt à imaginer une méthode assortie d’un ensemble d’outils de conception qui puisse aider le concepteur à rapidement établir les principaux paramètres qui caractérisent une application cible, tout en gardant cette trame standard.

La conception des systèmes électroniques propose aujourd’hui une grande variété d’outils qui permettent de concevoir et de simuler un système numérique ou mixte, etc. Chaque outil est adapté à un niveau d’abstraction choisi et à un domaine

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 17

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

ciblé. Ces outils utilisent une variété de langages de conception MAST, VHDL-AMS, VHDL, C.

Dans ce chapitre, nous allons présenter un état de l'art sur la conception des microsystèmes et la méthodologie de synthèse pour automatiser certaines phases du flot de conception.

1.2. Développement des outils de conceptions des microsystèmes:

1.2.1. Définition des microsystèmes:

On peut définir les microsystèmes (Micro Electro Mechanical Systems MEMS en Anglais) comme des systèmes de petites dimensions (plus petites qu’un centimètre cube) accomplissant des fonctions de précision. Les microsystèmes se composent de capteurs pour acquérir les informations du monde extérieur, d’une partie électronique pour le traitement de données et d’actionneurs qui réagissent avec le monde extérieur.

Les capteurs et les actionneurs sont fabriqués sur des micros structures de silicium, tandis que les parties de traitement de signal sont fabriquées avec les processus microélectroniques ordinaires.

Le terme microsystème est utilisé pour décrire des systèmes hybrides dont les différents composants sont fabriqués indépendamment (avec différentes technologies et différents substrats), ainsi que pour décrire des microsystèmes monolithiques dont les composants sont fabriqués sur le même substrat. L’avantage de ces systèmes hybrides réside dans leurs bonnes fonctionnalités assurées par des coûts de fabrication relativement faibles.

Les technologies de fabrication de microsystèmes sont dérivées de celles de fabrication de la microélectronique. Ces technologies utilisent les mêmes techniques comme la génération de masque, la photolithographie, le micro usinage en volume et le micro usinage en surface, etc.

Le microsystème est un système multifonctionnel avec des fonctions de mesure, de traitement du signal, de mémorisation, de communication et d’actionnement. Il comporte une capacité de calcul (processeur) qui peut embarquer des logiciels donnant une «certaine intelligence» à l’ensemble. (Illustration dans la Figure 1.2)

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 18

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

Figure 1.2. Architecture de base de microsystème

En résumé le microsystème peut être capable de réaliser 4 fonctions : Détecter un signal physique et le convertir en grandeur électrique

(fonction de capteur) Amplifier et traiter le signal fourni par le capteur (fonction de

l’interface électronique) Produire un phénomène physique (fonction actionneur) Interagir et communiquer les résultats de mesures avec l’extérieur

(interface de communication) L’architecture d’un circuit intégré actuel est illustrée à la Figure 1.3. Elle

contient de composants hétérogènes (des processeurs, de blocs mémoires, de blocs IP dont certains sont des reconfigurations) offrant un compromis «matériel logiciel enfoui», associé à un réseau d’interconnexions (Bus ou micro réseau : «Network on chip»).

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 19

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

Figure 1.3.

Ce

communicasont dévelprototypageréutiliser emeilleures

Daest importaon incorcapteur/actipar un micr

Deles traduisd'interface. l'informatiodécalages, analogique/

Lemicroprocemoyen d'uprendre designaux de

HAMOUI Rima /

L’architecture d'un circuit intégré

s circuits doivent fonctionner dans des environnements de tions complexes, en s’adaptant rapidement à tout type de standard. Ils oppés avec des logiciels de CAO couplés à des plateformes de rapide à reconfigurer (« Platform based design »), et permettant de t d’interconnecter les composants virtuels disponibles dans les conditions. ns un microsystème, c'est la performance de tout le dispositif qui nte et non celle de chaque microcomposant isolé. Par conséquent,

pore des interfaces microélectroniques spécifiques à chaque onneur, l'ensemble étant si possible intégré dans la même puce et géré ocontrôleur avec une mémoire associée. s grandeurs physiques externes sont mesurées par les capteurs, qui ent en de faibles signaux électriques traités par l'électronique Cette électronique alimente les capteurs, prépare le traitement de n au moyen d'un conditionnement du signal capté (réglage des amplification, filtrage, etc.), qui est suivi par une conversion

numérique, et réalise l'interface avec un bus de données. s signaux numérisés sont analysés par un microcontrôleur ou un sseur. Celui-ci passe l'information obtenue à un système central au n bus de niveau système, externe au microsystème. Il peut aussi s décisions en fonction de cette information et renvoyer soit des commande aux actionneurs agissant sur l'environnement, soit des

Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 20

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

signaux de réglage de la fonctionnalité des capteurs ou des actionneurs. L'électronique d'interface des actionneurs commence par l'interface du bus de données, suivi par une conversion numérique/analogique et par des circuits de conditionnement du signal afin de piloter les actionneurs et de fournir la puissance nécessaire (la Figure 1.4).

Figure 1.4. Architecture générale d’un microsystème intelligent

1.2.2. Le marché des microsystèmes et les applications industrielles:

Les premiers capteurs microsystèmes intégrés avec l'électronique de traitement de l'information furent les capteurs de pression au début des années 80. Les années 1980 et 1990 virent l'apparition de nombreuses technologies microsystèmes standardisées aboutissant à de nombreuses applications industrielles, parmi lesquelles nous pouvons citer les têtes de lecture magnétique de disques durs, les têtes d'impression à jets d'encre qui représentent à peu près 60 % du marché mondial des microsystèmes. De leur côté, les accéléromètres, les

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 21

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

capteurs de pression, les microsystèmes médicaux représentent le dernier tiers du marché industriel. Cependant beaucoup d’applications (biopuces et éléments passifs pour la radiofréquence) en sont encore au stade de développement, mais ne tarderont pas à trouver des débouchés sur le marché industriel, étant donné la diversité des domaines dans lesquels les microsystèmes peuvent apporter des solutions et des gains en terme de réduction des coûts et d'encombrement. La Figure 1.5 montre, à titre d'exemple, les applications présentes dans le marché mondial des microsystèmes émergents.

arché des microsystèmes, répartition du marché selon chaque produit

es applications microsystèmes se trouvent dans tous les domaines qui dem

Figure 1.5. M[JUN03]

Landent une forte réduction des coûts, des dimensions, du poids et une

augmentation de la fiabilité et des performances. Les domaines les plus importants que l'on peut citer pour l'emploi des microsystèmes à ce jour sont :

L’industrie automobile [CAR01], qui est certainement à l'heure actuelle le domaine industriel pour lequel les microsystèmes ont le plus de débouchés et où la présence de puces électroniques et de microsystèmes ne cessent de croître. Les applications concernent en priorité des capteurs de pression, de température, de flux et les systèmes d’analyse de qualité d’huile. Les éléments de sécurité des automobiles, tels que les capteurs de pressions intégrés dans les pneus, les

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 22

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

accéléromètres dans le dispositif de l'airbag et pour l'assistance au pilotage, ainsi que les détecteurs de reculs ultrasonores [PRE99] font également appel aux technologies microsystèmes. L’industrie aéro spatiale [HUA95] est l'un des domaines où

d consommateur de capteurs

édical est un domaine ou l’intérêt des

Les systèmes de contrôle de paramètres tels que le flux sanguin, le ph des fluides gastriques ou la pression

l’emploi des microsystèmes va prendre une place de plus en plus prépondérante, car les aspects de miniaturisation et de fiabilité sont très importants dans les satellites. Les microsystèmes dans l'aérospatiale et les autres domaines ne sont pas soumis aux mêmes contraintes. En effet, dans un satellite, un microsystème devra résister à des radiations importantes présentes dans l'espace, pour que son fonctionnement ne soit pas perturbé. Les principaux microsystèmes utilisés sont des systèmes de positionnement par visée de la terre et des gyromètres. Le domaine aérien est un granréalisés en technologie microsystèmes. L'apport des microsystèmes se retrouve surtout dans le gain en termes de miniaturisation et dans la réduction des coûts des capteurs permettant d’augmenter leur présence, ce qui revient à dire une plus grande sécurité et fiabilité des avions. Il est ainsi possible d’installer dans les ailes des avions un réseau de capteurs qui fourniront une mesure de la pression, des contraintes dans les matériaux [NIE85]ou de la température en divers points, pour une plus grande aide au pilotage. L'industrie des télécommunications et de l'informatique utilise aussi des produits issus des microsystèmes. Les deux produits le plus utilisés, les têtes d imprimantes [CAT96] et les têtes de lecture des disques représentent la plus grosse part du marché mondial des microsystèmes. A l'heure actuelle, les MEMS RF représentent les axes de recherches des télécommunications. Les MEMS RF visent l'intégration de composants discrets tels que les oscillateurs, les filtres, les inductances ou les relais. Pour terminer, Le biommicrosystèmes est le plus grand. En effet, du fait de la biocompatibilité du silicium et des tailles des microsystèmes, il est envisageable de créer des systèmes de capteurs et d’actionneurs qui seraient implantés à l’intérieur du corps et éventuellement interrogés à distance. Les applications envisagées sont de quatre types:

1.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 23

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

artérielle, dispositifs qui peuvent être couplés à des systèmes d’injection automatique de médicaments [TAN92] . Les systèmes de génération de stimuli nerveux destinés à recréer le

2. mouvement des jambes ou des bras chez les

3. ermettent d’asservir les battements

4. 5. es des

guin.

génération des stimuli nerveux. Les microsystèm tilisés pour la conception

d’instruments de diagnos du tau

ces :

handicapés [TAN92]. Les pacemakers qui intègrent maintenant des accéléromètres qui pcardiaques à l’activité physique supposée du patient. Les rétines artificielles et les prothèses auditives. L’analyse biomédicale. Les applications potentiellmicrosystèmes dans le corps humain sont :

a. Pacemaker : accéléromètre et capteur de pression. b. Diffuseurs de médicaments. c. Biométrie reconnaissance d’empreintes digitales. d. Sonde gastrique. e. Capteur de pression artérielle. f. Capteur de flux sang. Prothèse auditive. h. Rétine artificielle. i. Neuro-stimulateur : es sont souvent u

tic (mesure de pH du sang avec des ISFET, mesurex de glucose, de sodium, d’urée), à des fins thérapeutiques

(microélectrodes de stimulation, injection médicamenteuse par micro pompes, mesure de l'activité neuronale) et dans le domaine de l’analyse biomédicale avec l’apparition des puces à ADN qui sont présentées comme une vraie révolution pour l’analyse biochimique.

- Les biopu

Les biopuces ou puces à ADN sont des systèmes destinés à effectuer en parallèle de e génétique sur un échantillon à volume réduit. Le principe

s milliers d’analys est d’utiliser un ensemble de sondes nucléiques organisé en matrice sur

une puce de petite dimension. Les sondes accrochées au substrat vont réagir avec l’échantillon à analyser en hybridant les brins d’ADN complémentaires et donc en les retenant fixe sur le substrat.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 24

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

Figure 1. 6. Schéma de principe d’une biopuce, (a) molécule d’ADN dénaturée. (b) puce à ADN contenant des sondes à ADN.

La lecture du résultat de l’analyse se fait de manière optique en excitant les marqueurs fluorescents, préalablement fixés aux brins d’ADN à analyser, et en mesurant la lumière ré-émise par ces derniers. La Figure 1. 6 montre un schéma d’une biopuce. On y distingue en (a) un morceau d’ADN dénaturé, c’est-à-dire séparé en deux brins complémentaires, et en (b) la biopuce dans son ensemble avec les sondes nucléiques accrochées sur le substrat et les cibles avec leur marqueur fluorescent. On distingue également la réaction d’hybridation qui permet de retenir accrochée au substrat le morceau d’ADN cible. Au point de vue microsystèmes les recherches se concentrent sur les substrats actifs permettant d’améliorer les procédures d’accrochage des sondes mais également l’intégration de la lecture des résultats de manière optique ou électrochimique directement sur la puce.

- Les biométries :

La biométrie est la science de l’identification du corps humain en fonction des caractéristiques qui lui sont propres. Ce peut être les empreintes digitales, la morphologie du visage, les couleurs et les formes de la rétine ou de l’iris. Les applications des microsystèmes concernent pour l’instant la reconnaissance d’empreintes digitales ou plusieurs solutions ont été validées avec des capteurs fonctionnant dans les domaines thermiques, optiques, électrostatiques et mécaniques.

La conception d'un circuit intégré permet ainsi de passer du "système" au

"silicium« (technologie de fabrication) en passant d'un modèle dit de haut niveau qui est une description fonctionnelle du circuit à un modèle dit de bas niveau correspondant à l'élaboration des plans des masques «layout» qui vont définir la

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 25

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

topologie des circuits (assemblage de plusieurs centaines de millions de rectangles ou de polygones sur plusieurs niveaux).

1.2.3. État de l'art de la conception de Microsystèmes :

C'est lors d’une conférence en décembre 1959 au Caltech durant la réunion annuelle de l'American Physical Society donnée par le professeur Feynman, qu'a commencé l'histoire des microsystèmes. Il a attiré l'attention des scientifiques sur la miniaturisation de ces systèmes, en disant qu'il y avait plein d'espace en bas de l'échelle : « There’s Plenty of Room at the bottom » [FEY59][GLE94]. L’objectif premier de cette allocution n'était pas de mettre en avant les gains réalisables en taille et en volume, mais d'insister sur le fait que la miniaturisation d'un système permet d'accroître considérablement la sensibilité des capteurs embarqués, le nombre des fonctions réalisées ainsi que la quantité d'informations pouvant être stockées. Par le biais de cette intervention, le professeur Feynman fut le premier à utiliser le terme "micromachine" et le premier à appréhender les avancées et les problèmes soulevés par la physique des systèmes de petites dimensions.

Ce n'est que quelques années après la naissance des premiers circuits intégrés, qu'apparut la possibilité de faire des systèmes mécaniques avec les technologies dédiées à la microélectronique détournées pour faire des structures mécaniques, exploitant les excellentes propriétés mécaniques connues du silicium et du poly-silicium, matériaux de base en microélectronique [PET82]. Au cours des années 1980 ces propriétés permirent d'utiliser ces matériaux pour réaliser des jauges de contraintes sensibles à l'effet de piezorésistivité, l'effet Seebeck, où la variation de résistivité en fonction de la température permet d'obtenir les premiers capteurs de pression en silicium des transducteurs tout intégrés [HON88a].

La première publication concernant le mot de Microsystems (MEMS: Micro Electro Mechanical Systems) opérationnel daté de 1967, 10 ans après l’invention du circuit intégré à base de transistors, et fait globalement appel aux mêmes techniques de fabrication. Cependant, l’intérêt pour les microsystèmes sera plus long à venir et concernera d’abord les capteurs au début des années 1980. En 1982, Petersen (IBM Research Lab.) écrivent un article intitulé "Silicon as a Mechanical Material" où le silicium, matériau de prédilection de l'industrie de masse de la micro-électronique, est présenté comme un bon candidat pour la fabrication de microstructures mécaniques. Les procédés technologiques permettant l'usinage de volume du silicium sont également décrits.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 26

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

Le milieu des années 1980 marque le développement du domaine de recherche sur les microsystèmes qui bénéficie des contributions majeures des américains et des japonais qui se sont auparavant (début 1980) structurés:

- Aux Etats-Unis, la création du Berkeley Sensors and Actuators Center (BSAC) à San Francisco

- Au Japon, dans le laboratoire du Professeur Esashi à Sendai. - Aux pays européens (Suisse, Pays-Bas, Suède, Allemagne)

débutent leurs recherches dans le domaine des microsystèmes. Début 1990 ce sont les premières réalisations de microsystèmes en

France, avec la création de pôles autour des laboratoires du CNRS ou du CEA (le LAAS-CNRS à Toulouse, le LETI-CEA à Grenoble, l'IMFC à Besançon, et l'IEMN à Villeneuve d'Ascq). C'est également à cette date qu'est initié, par le département SPI du CNRS, le premier "Programme Microsystèmes". C'est sans doute à la même époque que commencent les activités de recherche sur les microsystèmes dans de grandes entreprises françaises. Les années 1995-2000 viennent la poursuite de la structuration de ce domaine de recherche en France avec l'élargissement des pôles existants. Le pôle Rhône Alpes (le LEOM à l'Ecole Centrale de Lyon, le LETI, le LEG à Grenoble) ; le pôle Sud-ouest (le LAAS, le LIRMM à Montpellier, l'IXL à Bordeaux) ; le pôle Francilien (l'Institut d'Electronique Fondamentale à Orsay, l'ENS Cachan, l'ENS Ulm, l'ESPCI, le LPN, etc.…) et l'ESIEE (Ecole d'ingénieurs) à Noisy le Grand qui dispose également d'une centrale de technologie.

Plus que jamais, la concurrence entre les entreprises se joue au niveau international. Les cycles de vie des produits se raccourcissent de manière parfois spectaculaire, notamment pour les produits intégrant des technologies de pointe. Pour que ces derniers soient rentabilisés avant d’être dépassés, il convient de réduire au maximum le temps écoulé entre leur conception et leur mise sur le marché. C’est pourquoi il est primordial de développer un environnement de CAO (Conception Assistée par Ordinateur) dédié aux microsystèmes. Les problèmes de modélisation et de simulation lors d’interactions entre divers domaines de la physique différencient notamment les MEMS de la microélectronique classique. De plus, la jeunesse et la complexité des technologies MEMS rendent leur utilisation délicate et les rendements incertains. Donc, pour transformer les microsystèmes, des prototypes de recherche en produits industriels sont disponibles sur le marché, alors que les outils CAO ne le sont pas. Ces outils gèrent de façon efficace les grandes quantités de données qui sont nécessaires au processus de conception. Ils permettent notamment de modéliser les microcomposants à différents niveaux d'abstraction et de simuler leur comportement.

Ces outils de CAO sont devenus des appuis incontournables pour les ingénieurs et les scientifiques, au moment d’exécuter tous types de projets,

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 27

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

particulièrement ceux dont la complexité et le temps de développement sont importants.

Pour les différentes disciplines d’ingénierie, telles que l’électronique, la mécanique, l’optique, et d’autres, il existe une variété d’outils de conception, de simulation et de vérification d’un composant spécifié : le manque, aujourd’hui, est de définir un environnement dans lequel une conception complète de microsystème peut être achevée de façon systématique.

En général, pour définir un tel environnement, deux approches peuvent être envisagées [SEN98b] [SEN95] : la première consiste à développer un nouvel environnement spécifique aux microsystèmes ; la seconde, plus réaliste, réside dans la décomposition de microsystème en sous-système et l’utilisation d’outils CAO existants pour les différentes disciplines requises par les microsystèmes, de les étendre et de les interfacer dans un seul environnement, avec des cellules de composants standards et paramétrables, afin d’assurer un flot de conception “design flow” systématique et continu.

1.3. Le test de microsystèmes : A l’heure actuelle, le test des circuits intégrés, numériques analogiques ou mixtes, est une étape importante du cycle de fabrication en termes de coût, mais également pour assurer un certain degré de qualité et de fiabilité réclamé par les intégrateurs au niveau système. L’augmentation importante de la complexité des circuits intégrés de dernière génération impose que le test soit inclus dans de la conception non plus uniquement pour des raisons de coût mais simplement pour des raisons de testabilité. En effet comment entreprendre le test d’un circuit contenant 40 millions de transistors (cas du pentium III) si ce n’est en ayant recours à des techniques spéciales ?

Les travaux concernant le test structurel des circuits intégrés (menés dès l’apparition des circuits intégrés complexes) ont permis l’apparition de techniques de test permettant d’opérer des procédures de tests rapides et dont le niveau de qualité, c’est à dire la qualité d’une procédure de test à détecter les défauts potentiels d’un circuit, est connu.

Les microsystèmes n’ont pas suivi la même évaluation des circuits intégrés, on est en droit de se poser des questions concernant le test des futures applications microsystèmes et de se demander si les techniques développées pour les circuits intégrés peuvent s’appliquer aux microsystèmes. L’objectif de cette thèse est alors de transposer les techniques de test structurel issues de la microélectronique aux nouvelles technologies microsystèmes.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 28

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

Logique

SRAM

FLASH

E-DRAM

RF CMOS

FPGA

MEMS

FRAM

Capteurs chimiques

Opto-électronique

Electro-biologique

98 99 00 01 02 03 04 05 06 07 08 09 10 11 12

Tableau 1.1. Estimation de la date d’apparition de l’intégration de différentes technologies en SystemOn a Chip sur les technologies CMOS standard (source International technology Roadmap forSemi-conducteurs, 1999 édition)

On assiste également aux développements de Systèmes sur une puce ou

SoC (pour System On a Chip), qui sont en fait l’intégration au niveau monolithique de circuits qui autrefois étaient intégrés au niveau carte. Cette nouvelle philosophie de conception permet d’intégrer dans un même circuit des parties numériques (logique, mémoires), des parties analogiques et bientôt des sous-ensembles microsystèmes. Le Tableau 1.1 recense les estimations des dates d’apparition de l’intégration de différentes technologies en System On Chip sur les technologies CMOS standard. On remarque que les premières applications System On Chip contenant des parties microsystèmes sont apparues vers 2002 [LAB08].

1.4. Outils et plateformes d’aide à la conception de microsystème:

Nos travaux s’inscrivent dans le développement d’une plateforme d’aide à la conception de microsystèmes. Plus particulièrement, il s’agit de concevoir un outil pour l’interfaçage de capteurs de nature différente. Cet outil d’interfaçage doit

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 29

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

permettre la synthèse automatique de l’architecture d’un composant électronique à partir de données relatives au capteur (bande passante du signal, résolution, etc.). La conception basée sur les méthodologies de réutilisation et les plateformes de conception automatique est née pour réduire d’avantage les efforts de la conception des systèmes complexes. Bien entendu, le niveau de l’automatisation est loin d’être un système ‘presse bouton’.

D’abord, une grande partie du processus de conception (au niveau bas) dans le domaine numérique a été entièrement automatisée. Le matériel est décrit par un langage de description matériel (HDL) comme Virilog ou VHDL, au niveau comportemental ou structurel. Un synthétiseur de haut niveau traduit la description comportementale en une description structurelle. Ensuite, un outil de synthèse logique traduit la spécification structurelle en une netlist (niveau grille).

Enfin, un outil de placement et routage arrange cette netlist pour construire (au niveau des masques) un Layout basé sur une bibliothèque de cellules dans une technologie donnée.

L’histoire est tout à fait différente dans le domaine analogique. Il n’y a pas encore d’outil commercial CAD robuste qui s’appuie sur la conception automatique des circuits analogiques en dehors des simulateurs de circuits comme (SPICE) et les éditeurs de masque.

Historiquement, les outils de la conception électronique ont été orientés soit vers les systèmes analogiques, soit vers les systèmes numériques. Nous pouvons observer que les applications numériques ont évolué plus rapidement en raison de l’utilisation croissante des calculateurs embarqués et de l’effet de masse des marchés des produits « grand public ». Nous nous intéressons ici aux outils analogiques. En conséquence, nous distinguons trois types d’outils:

1. Les outils de conception automatisés, 2. Les outils commerciaux, 3. Les outils basés sur l'approche de Co-simulation.

1.4.1. Les outils de conception automatisés:

Cette section présente quelques outils de conception automatisés existants : IDAC:[TUR89] est l'un des premiers et meilleurs outils utilisant le principe de base de connaissance. Il a été développé à la fin de l'année 1980 au Centre Suisse d'Electronique et de Microtechnique (CSEM). L'outil permet la conception d'une grande variété de circuits tels que des amplificateurs, des comparateurs, et des convertisseurs analogique-numérique. Il a été également commercialisé par Mentor Graphique Corporation.

IDAC s’appuis sur une bibliothèque de plans de conception spécifiques pour différentes topologies représentant chaque circuit. La bibliothèque est créée

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 30

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

par un concepteur expérimenté et contient un ensemble d’équations de conception organisées d’une manière appropriée.

Le plan de conception dans l'outil contient une partie de vérification des performances avec un simulateur de circuit. Si le circuit n'arrive pas à atteindre les performances, il ré-exécute le plan de conception.

C'est un outil rapide, car il fournit un point de départ à l’optimisateur, ce qui facilite l’exploration des performances. Son désavantage, est qu’il faut développer un design plan pour toutes les topologies. OASYS: [JAN03] est un outil où le circuit est divisé en sous blocs (source de courant, paire différentielle) pour lesquels un design plan est développé. Les différents sous-blocs sont testés en effectuant un changement de topologie.

L’avantage est que l’approche permet la réutilisation des sous blocs. ARIADN : [ISK05] est un environnement d'outils CAO développé à l'Université Catholique de Louvain, Belgique. Il a marqué le début d'une deuxième génération d'outils analogique à base d'équation de synthèse et a été construite à partir des idées explorées dans OPASYN, OASYS, et IDAC.

La nouveauté principale de cet outil est la confiance sur la simulation symbolique comme partie intégrale du processus de synthèse. BLADES: (Bell Laboratoire Analog Design Expert System) [HAR89]est l'un des premiers outils à compter sur l'intelligence artificielle pour diviser et classer les circuits analogiques. L'outil emploie un système expert, établi pour imiter le raisonnement du concepteur sous la forme de règles (IF-ELSE). BLADES permet la conception rapide de circuits analogiques de la catégorie de l’amplificateur; typiquement, le temps nécessaire pour concevoir un amplificateur opérationnel est seulement de quelques secondes. Cependant, l’outil ainsi que tous les outils de conception basés sur la connaissance, exige l’ajustement et/ou l’ajout de règles appropriées de conception. En effet, il est nécessaire de maintenir à jour en ce qui concerne les modèles de dispositif, les procédés de fabrication et les spécifications de ce type d’outil. ISAID: [ANA94] a été développé à l'Imperial Collage de Londres. L'outil est basé sur une méthode de raisonnement qualitatif afin d'ajuster les performances du circuit.

Le raisonnement qualitatif est une méthode permettant d’expliciter les mécanismes du changement dans le inonde physique. L’approche est basée sur le remplacement des relations exactes des performances par des relations qualitatives, telles que “plus grand” ou “plus petit”. Par exemple, en spécifiant une relation négative, la valeur de la performance concernée augmente si la valeur du paramètre de conception identifiée est réduite.

Dans ce cas-ci, le signe des gradients d’une performance, en changeant des paramètres de conception, est employé pour déterminer ce qui sera l’effet d’une

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 31

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

modification de la valeur d’un paramètre particulier. En utilisant un grand nombre de paramètres d’évolutions, les tailles des dispositifs peuvent être ajustées.

Le système fournit peu d’aide à la génération d’équations. En fait, le problème peut être aggravé dans une certaine mesure par la nécessité de fournir des informations additionnelles pour guider le processus qualitatif de raisonnement. IPRAIL: (Intellectual Property Reuse-based Analog IC Layout Automation) [MAK95] a été développé à l'université de Washington en 2003. Cet outil vise à automatiser la génération des layout analogiques existants pour la migration vers de nouvelles technologies et pour de nouvelles spécifications. Le principe de IPRAIL s’appuie sur:

La création de critères basés sur le principe de l’extraction automatique de propriétés des circuits analogiques et les layouts, L’incorporation de règles de conception de nouvelles technologies, La production des dispositions de layout entièrement

fonctionnelles. IPRAIL s’inspire des méthodes procédurales de génération de module. Il

facilite la réutilisation des layouts par l’automatisation de la génération des modules de disposition de layouts. Les connaissances utilisées sont extraites à partir des layouts déjà existants générés par le concepteur expérimenté.

La grande limitation de l’outil IPRAIL est qu’il se base sur des layout existants pour les recycler et les faire évoluer vers de nouvelles technologies et spécifications. Cependant, un changement de niveau de tension en technologie cible peut affecter les performances de certaines topologies.

1.4.2. Les outils commerciaux

Tous les outils détaillés ci-dessus ont été développés dans des projets de recherche et peuvent donc être classifiés comme outils universitaires. Il y a cependant quelques sociétés qui offrent actuellement des outils pour le dimensionnement de dispositifs. La plupart de ces derniers sont des réalisations commerciales des outils universitaires; ci dessous certains de ces outils sont décrits. BERCELOND: L’outil de conception BARCELONA [HER98] a été développé à Newark, CA, USA, après la création de GPCAD [GUE03]. La société commercialise des blocs IP (Intellectual property) prêts pour la synthèse. Ces blocs contiennent les équations de conception nécessaires écrites sous la forme polynomiale. Le problème d’optimisation est ainsi reformulé en un problème de programmation géométrique, pour lequel l’optimum global peut être trouvé en quelques secondes.

Contrairement aux blocs IP standard, qui répondent à des caractéristiques données, ces blocs peuvent être synthétisés pour cibler une gamme différente de

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 32

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

caractéristiques. Actuellement, la société fournit des blocs IP tels que des PLLs12, des convertisseurs et des amplificateurs.

La société ne propose pas de solution générale pour le dimensionnement des circuits intégrés. Les blocs représentent une topologie fixe, avec peu de modifications. Pour les amplificateurs opérationnels, trois topologies asymétriques sont offertes. Ces blocs sont dépendants des technologies, aujourd’hui les blocs IP sont disponibles dans deux processus, TSMC 0.18 et TSMC 0.13. De plus, le circuit peut seulement être optimisé en ce qui concerne la surface, l’énergie dissipée, le gain et la largeur de la bande passante.

Ceci limite l’utilisation de ces blocs. Cependant, comparé à un bloc traditionnel IP, l’approche offre à l’utilisateur un grand niveau de réutilisation et de flexibilité. L’avantage de la méthode est qu’est rapide, effectuant un dimensionnement en quelques minutes, et le layout du composant est également automatique.

Dans [KIR00] il est rapporté que les 2364 variables du ADC 12 bit ont été utilisées pour l’optimisation dans un délai de 10 minutes sur un PC Pentium 400 MHz. En outre, l’approche de la programmation géométrique assure que l’optimum global pour les spécifications données sera trouvé, où elle montre que les spécifications ne peuvent pas être respectées. L’inconvénient de cette technique est que l’application de l’outil est limitée aux topologies et aux technologies de cible déjà prétraitées par le fournisseur de l’outil. ANALOG DESIGN AUTOMATION: Le système fourni par « Analog Design Automation » [CAS01] emploie l’optimisation génétique basée sur la simulation avec des outils industriels standards. Il peut être utilisé pour le dimensionnement de différentes topologies de circuit et être indépendant de la technologie cible. Parmi les essais rapportés, on retrouve les exemples suivants : le dimensionnement d’un amplificateur haute-fréquence constitué de 200 composants devant accomplir 20 objectifs de conception. La conception a été paramétrées en utilisant 85 variables de conception (c’est-à-d, la connaissance des contraintes à priori, par exemple celles de la symétrie pour la réduction du nombre de variables de conception). L’optimisation nécessite 8 heures sur 12 postes de travail (Sparc). ANAANASIFT-AMPSO : Cet outil couvre le dimensionnement de tous les circuits analogiques linéaires comprenant les amplificateurs opérationnels et les comparateurs. Dans [ANS04], il est rapporté que ANASIFT a ramené le temps de conception de trois semaines à moins de deux jours pour un amplificateur opérationnel utilisé dans un convertisseur de données analogiques numériques 12 bits. Il s’appuie sur des outils de simulation standard comme SPICE.SIFT-AMPSO.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 33

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

1.4.3. Les outils basés sur l'approche de Co-simulation :

Il y a des outils basés sur l’approche de Co-simulation comme VISA, COLIF, et des outils basés sur l’approche des langages comportementaux comme HDL. VSIA : (Virtual Socket Interface Alliance) [LEN00] propose un standard pour l’intégration des IP : des interfaces au niveau système pour le modèle de communication, un standard de modèle de performances pour les contraintes d’un système et un standard pour les types de données pour l’intégration de nouveaux composants dans un système. Ce modèle est flexible mais il ne couvre pas tous les niveaux d’abstraction. COLIF : est un outil de Co-simulation basé sur la séparation entre les communications et le comportement pour le traitement des systèmes hétérogènes. Plusieurs nouveaux concepts ont été introduits par ce module par rapport à ses précurseurs, par exemple, l’enveloppe de canaux (ports internes-ports de modules, port externes ou port de communication entre canaux). Il couvre les différents niveaux d’abstraction et permet la spécification de systèmes complexes par une approche de décomposition en vue d’augmenter la manipulation de la Co-simulation. Chaque module dans Colif est représenté par son ensemble de ports d’interconnexion et son contenu qui peut être à son tour un ensemble de modules ou un comportement élémentaire.

Les structures de données sont échangées à travers les ports. Le comportement de chaque tâche Colif peut être défini en différents langages de spécification (par exemple VHDL, C/C++). Le flot de spécification Colif commence par le plus haut niveau d’abstraction, et il est raffiné jusqu’au bas niveau RTL en passant par plusieurs niveaux d’abstraction. Le langage de développement utilisé par Colif est le XML.

Colif a permis la spécification et la validation d’une partie de VDSL et d’un commutateur optique MOEMS [BAR03]. Cet outil s’intéresse plutôt à la communication entre les modules et la génération de modules de validation. Mais le comportement des différents composants d’un système peut limiter les performances de cet outil ainsi que les langages de description des composants. La Figure 1.7 présente l’environnement de synthèse de microsystème.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 34

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

Figure 1.7. Environnement de synthèse de microsystème

- Outils basé sur l’approche des langages comportementaux : HDL : HDL (Hardware Description Language) VHDL conçu en 1980 pour la conception des circuits numériques. La première version 7.2 publique est apparue en 1985.

VHDL-AMS est une surcouche de VHDL, standardisé en 1993 (comme standard IEEE-VHDL 1076.1). Elle permet d’intégrer la modélisation de systèmes analogiques. Son but est de fournir un outil de description hiérarchique et de simulation des systèmes continus et mixtes (analogique-numérique) en conservation d’énergie ou non.

Le langage doit supporter la modélisation à différents niveaux d’abstraction aussi bien dans le domaine électrique et que dans des domaines non électriques (systèmes multi domaines constitués d’éléments hydrauliques, thermiques, optiques, mécaniques etc.).

VHDL-AMS a permis le développement d’autres outils pour la simulation des MEMS comme l’outil MEMS Master [DRI04]. Les systèmes à modéliser sont décrits par des systèmes d’équations différentielles et algébriques (DAE). La résolution de ces systèmes doit inclure la gestion des discontinuités. Pour des systèmes mixtes, les exigences entre les deux parties doivent être respectées. Le langage permet de développer une bibliothèque de différentes modélisations comportementales de chaque architecture. Parmi les modèles VHDLAMS interdisciplinaires existant, nous pouvons citer le modèle de communication optoélectronique décrivant le comportement d’une source d’émission laser et un

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 35

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

photorécepteur [MIE99]. Dans [GUE03], une modélisation d’un transducteur pièzocéramique ultrasonore permet de prendre en compte les phénomènes physiques comme la propagation des ondes acoustiques.

Ce langage de description comportementale est inefficace seul devant des systèmes interdisciplinaires larges, comme les microsystèmes et les circuits intégrés photoniques. En effet, différentes limitations s’imposent pour la modélisation de ces derniers.

Le Tableau 1.2 présente les différents types d’outils utilisés lors des

différentes phases de conception d’un système et d’un circuit analogique et mixte voire de radio fréquence.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 36

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

Tableau 1.2 les différents types d’outil utilisés lors des différentes phases de conception d’un système et d’un circuit analogique et mixte

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 37

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

1.5. Structure globale d’un environnement de conception de microsystème

L’environnement d’outils de CAO pour microsystème permet un flux de conception continu qui peut être vu sous deux angles différents : celui du concepteur système n’ayant pas de connaissances pointues dans le domaine des microsystèmes, et celui du concepteur de composants ayant l’expérience dans le domaine. De ce fait, l’environnement comporte des éléments utiles pour le concepteur de composants lui permettant de concevoir des modules, de les simuler et de finalement traduire ses résultats et son expérience, par des modèles standard caractérisés stockés dans la bibliothèque, à l’utilisation du concepteur de systèmes (voir la Figure 1.8).

Figure 1.8. Structure globale d’un environnement de conception de microsystème Cet environnement couvrant les différents niveaux de modélisation et de

simulation de microsystèmes permet les fonctions suivantes [SEN98b] [SCH96] : Un flux continu de conception moyennant l’extension (kit de

conception) des outils de conception des circuits intégrés déjà disponibles sur le marché permettant la génération de dessin des masques (layout) d’un microsystème comportant des parties électroniques et non-électroniques et la vérification des règles de dessins, et aussi l’extraction de paramètres du niveau layout au niveau plus haut afin de faire des simulations niveau-layout.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 38

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

Une vérification globale de la fonctionnalité du système en utilisant un simulateur mixte, en ajoutant des éléments de nature non-électrique, des éléments d’interfaçage et les stimuli correspondants.

La modélisation et l’analyse des composants et systèmes sont une tâche délicate. Il existe plusieurs niveaux de modélisation et les concepts fondamentaux varient considérablement d’un niveau à l’autre [SEN98a] [FOL95].

Sur la Figure 1.8 nous proposons un schéma de ces niveaux. On y distingue quatre niveaux qui sont: le niveau système, le niveau composant, le niveau physique et le niveau process. Les doubles flèches de liaison indiquent un échange itératif d’informations inter -niveaux.

Le niveau le plus élevé est celui du système. C’est le champ d’utilisation des techniques de description par les blocs comportementaux (behavioral) et des éléments de circuit localisé. Ces deux techniques conduisent à un ensemble d’équations différentielles ordinaires pour décrire le comportement dynamique du système. Le système d’équations différentielles est souvent écrit sous la forme d'un système couplé du premier ordre en fonction d’un ensemble de variables appelées variables d’état [ANT95].

La modélisation du procédé est une activité très sophistiquée pour laquelle plusieurs outils de CAO ont été développés sous le nom générique de technologie CAD ou TCAD. Pour le concepteur de microsystème, l’importance d’un TCAD provient essentiellement de sa capacité à prédire la géométrie d’un composant à partir du jeu de masques et des séquences du procédé. Aussi, le concepteur doit avoir une idée suffisamment fine du procédé pour pouvoir adapter les propriétés des matériaux utilisées à chaque variante du process.

Le niveau physique de modélisation adresse le comportement du composant réel. Les équations régissant ce domaine sont les équations aux dérivées partielles. Différentes méthodes analytiques peuvent être utilisées pour trouver des solutions explicites dans les cas des géométries idéales. Cependant, la modélisation des composants réels fait très fréquemment appel à des solutions numériques basées sur un maillage dense du domaine physique.

Dans certains cas, la partie microsystème pourra aussi utiliser des modèles existants dans les bibliothèques. Mais très souvent, nous serons amenés à développer ces modèles à partir d'une analyse mathématique, aboutissant soit à des circuits équivalents soit à un ensemble d'équations.

La simulation comportementale de l'ensemble du microsystème est alors faite au moyen des simulateurs de circuit de type SPICE ou des simulateurs HDL.

Une fois que l'architecture du microsystème est validée, une étape de conception en vue du test est impérative. Les microsystèmes ayant des hauts niveaux d'intégration, des stratégies de test sont nécessaires afin de s'assurer que les dispositifs fabriqués fonctionnent correctement et sans demander des coûts de test excessifs. En ce qui concerne les microsystèmes (comme dans le domaine de

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 39

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

l’analogique), une telle standardisation n’est pas envisageable. En effet, les tailles des composants utilisés au sein d’un même microsystème varient beaucoup plus et il est quasiment impossible de réaliser des bibliothèques de blocs fonctionnels : en effet, même s’ils réalisent la même fonctionnalité, ceux-ci doivent être redimensionnés à chaque fois, voire même complètement reconçus, pour satisfaire aux spécifications du système global. Il est donc particulièrement difficile de trouver des simplifications dans la manière de procéder pour réaliser le dessin des masques d’un microsystème [MUK97] [CHA01].

1.5.1. Méthodologie de conception de microsystèmes

Les méthodologies de conception des circuits intégrés reposent sur des approches très structurées. Elles sont basées sur la validation par simulation à différents niveaux hiérarchiques de représentation et utilisent des bibliothèques de composants dans un environnement CAO.

Maintenant que nous possédons les différentes possibilités de fabrication, il est temps d’examiner les méthodes de conception des divers types de microsystèmes réalisables. En effet, il est indéniable qu’avec les outils technologiques présentés, les objets réalisables peuvent être d’une grande variété, et destinés à des domaines d’application très diversifiés, tels que l’automobile ou le médical. Mais l’élément disant si le microsystème est une réussite ou non consiste actuellement en la possibilité de transférer son processus de fabrication dans le monde de l’industrie, et sa commercialisation. Du fait de la grande variété des applications, on se doute bien que le concepteur de microsystème, ou l’équipe de conception doit posséder des compétences multidisciplinaires : mécanique, électrique, optique, fluidique, etc., ce qui peut augmenter très rapidement les coûts de développement du produit (chaque ingénieur ou technicien coûtant cher à l’entreprise).

Pour réussir ce passage entre le monde de la recherche et le monde de l’industrie, un certain nombre d’outils ont été développés, que ce soit des méthodologies de conception ou des outils informatiques d’aide à la conception. Une de ces méthodologies, appelée Top Down, nécessite des ingénieurs compétents dans un domaine d’activité précis, travaillant en coordination pour comprendre les différents effets de chaque sous-système.

Typiquement, la méthodologie de conception “top-down” démarre aux niveaux d'abstraction les plus hauts, avec moins de détails, vers les niveaux d'abstraction les plus bas où tout le détail du circuit ou du dispositif est disponible. A l’inverse, la méthodologie de conception “bottom-up” commence par les niveaux d'abstraction les plus bas et procède par abstraction vers les niveaux plus hauts. Ces

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 40

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

approches très structurées servent à réduire au maximum le nombre de cycles de « conception fabrication test » et par voie de conséquence le temps de conception.

En général, les différentes étapes de la conception d’un microsystème commencent par une liste de spécifications caractérisant le fonctionnement et l’environnement du microsystème. La conception débute par une identification des principes généraux gouvernant le fonctionnement du microsystème, en termes d’actionnement et de fonctionnalité à atteindre. Cette étape est ensuite suivie d’analyses numériques, de simulations, et se termine par la création des divers masques permettant la réalisation du processus technologique, à l’aide d’outils de CAO. Cette méthodologie de conception est résumée brièvement dans la Figure 1.9.

Figure 1.9. Les différentes étapes de la conception d’un microsystème

A l’intérieur même de cycle de développement, la conception peut être vue

sous deux angles: conception descendante et ascendante.

1.5.1.1. La conception descendante

Cette méthode permet de passer d’une spécification à une architecture validée. Elle s’efforce de rassembler au plus tôt toutes les données utiles à la conception et d’en faire une représentation en amont aussi complète que possible. Cette représentation est ensuite décomposée en sous-systèmes qui seront ensuite décomposés à leur tour jusqu’à obtenir des modules simples (niveau composant primitives). Les transistors, la résistance, la capacité sont les primitives de l’électronique analogique. Les portes logiques sont celles de l’électronique

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 41

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

numérique. Cette étape est postérieure à la traduction des spécifications de performances en termes de spécifications au niveau de chaque bloc fonctionnel le constituant. Lors de chaque décomposition, les éléments sont ajustés pour que les spécifications de départ soient respectées. Cette opération est suivie d’une vérification par simulation.

Décomposition des composants

Vérification Fin de

décomposition

Décomposition des blocs

Décomposition en blocs

Décomposition en composants Vérification

Décomposition des sous systèmes

Décomposition en sous systèmes

Vérification

Bibliothèque de base IPs

Spécification niveau système

Cahier des charges

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 42

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

Diagramme 1.1. Méthode de conception descendante

La conception descendante pourrait viser un découpage du système en plusieurs sous-modules dès l’initiation du projet où chaque module concernerait un domaine spécifique, tel que l’électronique analogique, l’optique, la mécanique, et serait confié à une équipe de développement spécialiste du domaine. Puisque chaque domaine a ses propres outils de simulation, de vérification, et de validation, cette voie permet de créer des conceptions expertes pour chaque domaine. En pratique, elle limite aussi les possibilités d’échanges entre ces branches de conception parallèles. De plus, elle diminue les possibilités de vérification inter domaines pour établir les premières étapes de validation du système complet.

Cette première voie, qui établit un premier choix très tôt dans la démarche, est adoptée par les concepteurs qui ont une vision experte de leur domaine, des technologies et des familles de produits.

Mais, nous savons que les technologies deviennent très hétérogènes et sont en constante évolution. Des nouveaux métiers pluridisciplinaires se créent. Par exemple, pour les domaines technologiques qui sont en phase de pérennisation comme les micros technologies, les bases de données concernant leurs propriétés, leurs limites et leur cadre d’utilisation doivent se bâtir peu à peu. C’est pourquoi, dans une approche métier, dès lors que ces technologies de base sont paramétrées, répertoriées et classées, nous pouvons avoir une vision globale du système, sous la forme de « boîte noire » maîtrisable à haut niveau. Dans ce contexte, nous devons envisager d’effectuer un découpage fonctionnel du système en sous-système et identifier quelle « boîte noire » peut y apporter une solution

En résumé, nous voulons considérer la conception comme une procédure permettant d’aller des spécifications du système à un partitionnement des tâches selon des métiers bien répertoriés, sachant que ces métiers peuvent être différents des découpages habituels en discipline. Ils vont comporter le plus souvent des fonctions pluridisciplinaires : on appellera par exemple métier = la mesure, qui va associer des fonctions, par exemple mécanique et électronique (électronique embarquée).

Cette méthode consiste à traduire la spécification en une description sous forme de schéma logique « netlist », de manière manuelle, ou automatique pour les circuits complexes. La synthèse correspond à la génération, le traitement et l’optimisation de descriptions intermédiaires à différents niveaux d’abstraction. Le langage VHDL (comportemental et structurel), initialement développé pour la modélisation et la simulation, est également utilisé pour la description comportementale (parfois issu d'une description à un plus haut niveau d'abstraction en langage C), ou RTL (transfert de registres) d'une architecture logique pour la synthèse automatique.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 43

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

1.5.1.2. La conception ascendante Cette méthode est complémentaire de la précédente. Les approches de conception ont souvent été dans le passé de nature ascendante (Bottom-up). Dans cette approche, la démarche se focalise sur le composant élémentaire, constituant l’innovation. Puis, une fois ces parties micromécaniques, micro-optiques, etc. optimisées, une électronique environnante y est rapportée. Il présente notamment des défauts suivants pour les concepteurs :

1. Le manque d’une vue architecturale du système et son optimisation, 2. Une nécessité d’effort important 3. Un temps de calcul trop long pour une simulation du système à haut niveau.

Bibliothèque de base IPs

Vérification

Composition

Caractérisation

Vérification

Fin de composition

Sous système composé des blocs

Système final

Composition

Cellules

Blocs composés de cellules de base

Caractérisation

Diagramme 1.2. Méthode de conception ascendante

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 44

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

L’approche « bottom-up » consiste à transformer le schéma logique en un plan de masse où chaque cellule est placée et interconnectée conformément au schéma logique. Nous parlons à ce niveau de synthèse physique.

A partir des définitions de la conception descendante et ascendante, on constate aisément que l’utilisation de la conception descendante est plus avantageuse que l’utilisation de la conception ascendante, car pour la première (descendante) on peut vérifier les spécifications de départ après chaque décomposition, tandis que la seconde (ascendante) ne présente pas ce même avantage. En effet, après une composition, ce n’est qu’un bloc du système qui est caractérisé. On peut caractériser le système final qu’après la dernière composition, c’est à ce moment qu’on effectue une vérification.

1.5.2. La problématique de la conception

La conception des systèmes électroniques propose aujourd’hui une grande variété d’outils qui permettent de concevoir et de simuler un système numérique ou mixte, etc. Chaque outil est adapté à un niveau d’abstraction choisi et à un domaine ciblé. Ces outils utilisent une variété de langages de conception MAST, VHDL-AMS, VHDL, C.

La problématique qui nous concerne est celle des systèmes hétérogènes à savoir regroupement de fonctions de type logiques, analogiques, mécaniques, fluidiques, optiques, etc.

Pour de tels systèmes hétérogènes, l’intégration sur silicium uniquement n’est plus envisageable, il faut se tourner vers l’intégration de type System on Package (SoP) qui implique l’utilisation de plusieurs types de substrats. L’avantage de l’assemblage SoP est qu’il est basé sur une vision système de l’intégration, par l’agrégation de composants élémentaires simples et bien maîtrisés. Cette vision donne un double avantage : d’une part, une plus grande flexibilité pour envisager de faire évoluer les fonctionnalités d’un produit fini, par l’utilisation de bibliothèques de composants, et d’autre part, une réduction du temps de mise sur le marché du système dans sa version finale.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 45

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

Figure 1.10. Représentation schématique du flot de conception multi domaine

La pression économique et les contraintes appliquées pour produire des

circuits de haute qualité à des prix bas, tout en réduisant le temps de mise sur le marché, révèlent clairement le besoin de l’industrie microélectronique d’outils CAD pour les circuits analogiques et mixtes. Ils ont pour rôle d’assister le concepteur des circuits analogiques à accélérer le cycle de conception, ou encore d’automatiser certaines tâches du processus de conception quand cela est possible.

L’intégration sur une puce de systèmes de plus en plus complexes représente déjà un défi en soi. Une difficulté supplémentaire vient encore compliquer la tâche : c’est la diminution du délai disponible pour répondre efficacement, et à temps, à la demande du marché. Autrement dit, comment concevoir des systèmes de plus en plus complexes dans un délai de plus en plus court?

L’élaboration du flot de conception de microsystème présenté par la Figure 1.10 est très délicate parce que l’automatisation de la conception multi domaine suppose l’automatisation au préalable des deux parties analogique, numérique. Mais malgré beaucoup d’effort de la part des concepteurs, il n’y a pas encore de flot de synthèse analogique comme dans le domaine numérique et chaque étape reste souvent manuelle.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 46

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

L’absence d’automatisation est due à l’inexistence d’une méthodologie de conception cohérente et globale ainsi qu’à la diversité des types de circuits, des spécifications, etc. Cependant, on peut automatiser certaines phases de la conception

En résumé, les problèmes qu’elle induit relèvent de deux grandes classes : la complexité des informations à traiter à cause de l’hétérogénéité des systèmes et le manque d’automatisation de conception analogique.

1.5.2.1. La conception de la plateforme : Les microsystèmes sur silicium, intégrés aux circuits numériques, donnent une dimension nouvelle aux systèmes mono-puces (ou SoC - System on Chip) en ajoutant des fonctionnalités à leur interface avec le monde réel (capteurs et actionneurs). En associant des fonctions mécaniques, optiques, thermiques, fluidiques, magnétiques ou même biochimiques avec une électronique de contrôle et de traitement de l’information très performante, les microsystèmes ont révolutionné le marché des semi-conducteurs. L’intégration des capteurs et des actionneurs dans les puces micro-électroniques ouvre un éventail de possibilités de réalisation.

En effet, les puces de cette nouvelle génération peuvent devenir des systèmes autonomes, gérant de façon optimale leur consommation, tout en récupérant de l’énergie environnante. Elles disposent de capacités de communication avec d’autres puces voisines, par des liens radios, optiques, acoustiques ou infrarouges. Du fait de leur complexité et de leur hétérogénéité, ces systèmes ouvrent de nouveaux enjeux. Ces enjeux pluridisciplinaires, alliés au besoin d’optimiser le processus de conception pour réduire le temps de la mise sur le marché, conduisent au développement d’approches nouvelles de conception haut niveau, modélisation fonctionnelle, et de réutilisation de modules existants (IPs) etc. Ces approches nouvelles doivent être considérées dès les premières étapes de la conception.

Les approches actuelles proposent une méthodologie descendante de conception passant par une étape intermédiaire de prototypage virtuel où le système est complètement représenté par un assemblage de modèles sur lesquels on pourra analyser le fonctionnement : faire des optimisations, des choix technologiques, des prédictions de performances sur la fiabilité ou la robustesse [COU05].

Ce prototypage virtuel devient une autre clé de la conception des systèmes actuels. Il apparaît primordial de pouvoir se baser sur des modèles fonctionnels, pour vérifier, par simulation tout au long du processus de conception, l’accord entre le cahier des charges et les performances présumées, mais aussi pour optimiser les performances du système, et ce, avant d’entamer les étapes de réalisation technologique. La tendance actuelle est d’aller au bout de cette logique

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 47

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

de conception en ayant une approche uniquement basée sur des modèles. Ils constituent une représentation d’un module (circuit) pouvant être proposée à différents niveaux d’abstraction suivant les objectifs à atteindre et la précision souhaitée. Un modèle peut être développé très tôt dans le processus de conception pour formaliser les spécifications (modèle descriptif de haut niveau).

Cette description permet alors d’explorer différentes options d’architecture et de s’assurer de la bonne adéquation avec les fonctionnalités souhaitées. Le modèle de haut niveau est particulièrement adapté car il permet d’avoir un temps de simulation réduit.

Le travail de notre équipe s’est focalisé sur l’extension de l’environnement de CAO pour qu’il soit capable de manipuler un flot de conception microsystème. Pour réaliser cet environnement, il faut résoudre plusieurs problèmes :

La limitation de langages comportementaux à fournir des informations sur la topologie de composant de microsystème décrit. L’absence de bibliothèque standard microsystèmes dans le flot de

conception. La difficulté de définir le problème d’optimisation des

microsystèmes et ensuite de choisir la technologie adéquate d’optimisation. Un environnement de simulation global de microsystèmes permet

de simuler les composants de microsystèmes avec leur environnement électronique. Des telles simulations impliquent deux tâches : La modélisation des différents composants des domaines

d’énergie mécanique/thermique /analogique/ numérique concernant les capteurs et les composants électroniques. L’intégration des certains simulateurs dans un seul

environnement de simulation globale : une simulation multiplateforme reliant divers logiciels de conception (Simplorer, Matlab, Cadence) et fonctionnant sous plusieurs systèmes d’exploitation (Windows- Unix).

1.5.2.2. L’automatisation de la conception analogique Depuis quelques années, on assiste au développement des SoC, qui font cohabiter sur une puce des parties numériques, mais aussi des parties analogiques et mixtes. La conception des fonctions numériques a été fortement automatisée par le développement d’outils de CAO avancés. Citons par exemple l’apparition de logiciels de synthèse qui permettent de générer un circuit constitué de portes logiques à partir d’une simple description de sa fonction. Bien que les parties analogiques et mixtes ne représentent qu’une petite partie d’un SoC en terme de

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 48

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

surface, la complexité de leur réalisation est très importante. Les méthodes de conception automatiques des systèmes analogiques et mixtes sont quasi-inexistantes. Par ailleurs, il n’est pas possible d’utiliser celles du numérique directement, car plusieurs points différencient la conception analogique de la conception numérique [DES01] :

Les performances d’un système analogique sont définies par de nombreux paramètres, Les performances du circuit sont très sensibles aux variations

technologiques, Le dimensionnement des composants (transistors) est critique au

regard de la performance du circuit, Pour une même fonctionnalité, il existe plusieurs topologies, La hiérarchie est mal définie, Les niveaux hiérarchiques d’un système interagissent fortement, La réalisation du dessin des masques a une grande influence sur les

performances. La tendance actuelle est donc d’essayer de s’inspirer des techniques de

conception des parties numériques pour la conception de circuits analogiques et mixtes. Beaucoup de recherches sont menées dans ce domaine, mais les solutions sont encore rares et celles qui existent sont très spécifiques.

Les principales raisons du manque d’automatisation sont multiples. D’abord la conception analogique est perçue comme étant moins systématique et de nature plus heuristique (elle est basée sur l’intuition) que la conception numérique. En suite, la conception des circuits analogiques est complexe. Elle demande des connaissances spécifiques et une habilité dans la conception des circuits acquise au prix de plusieurs années d’expérience. Enfin, la diversité des topologies, le nombre des exigences conflictuelles et les mécanismes de dimensionnement correspondant sont également nombreux.

De plus, les circuits analogiques sont plus sensibles aux imperfections et aux effets parasites (bruit du substrat, bruit sur les alimentations, etc.). Ces différences par rapport à la conception numérique expliquent aussi pourquoi les outils CAD analogiques ne peuvent pas simplement s’adapter aux méthodes numériques. C’est pourquoi des solutions analogiques spécifiques ont besoin d’être développées pour la conception analogique complexe.

La conséquence liée à ce manque d’outils de conception analogique et mixte se traduit par l’allongement du temps de conception, mais également par l’augmentation du risque d’erreurs. Par conséquent, bien que les circuits analogiques occupent une petite partie de la surface totale du circuit mixte, leur conception constitue souvent la difficulté majeure dans la conception d’un système mixte, et ils sont souvent responsables de l’erreur et du coût élevé dans la conception.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 49

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

Malgré le manque d’outil CAD en analogique, l’automatisation de la conception des circuits analogiques et les outils CAD analogique constituent un domaine de recherche important dans le milieu industriel et académique. Mais pas comme la recherche dans le monde numérique.

Cette problématique de conception des circuits analogiques et mixtes s’intègre complètement dans le sujet traité par celle thèse.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 50

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

1.6. Conclusion:

La conception des architectures intégrées, vue dans son ensemble, est en constante évolution. La principale raison de ce dynamisme est sans aucun doute la miniaturisation. Permise par les avancées technologiques, cette réduction des dimensions des structures (mécaniques, électroniques . . .) modifie, parfois profondément, les méthodes de conception. Du "Bottom-up" au "Top-down", de la synthèse au test, qu’il s’agisse d’électronique numérique ou analogique, tous les niveaux des flots de conception sont concernés. Proposer des solutions qui puissent s’adapter à ces changements ou qui ne dépendent pas des technologies utilisées, est donc devenu un enjeu majeur. Il n’est bien évidemment pas question pour nous de répondre à une problématique si large.

Les exigences de la technologie et du marché ont imposé le développement d’outils plus puissants capables de traiter simultanément les domaines analogiques (pluridisciplinaires) et numériques. La plupart des systèmes électroniques actuels comportent des combinaisons de circuits analogiques et numériques. Ce besoin a entraîné depuis la fin des années 1990, l’apparition de langages de description matérielle de systèmes à signaux mixtes VHDLs. Ces types de langages offrent un grand intérêt dans une approche de conception système.

Par la suite, l'architecture du microsystème peut être définie à l'aide de décomposition en sous-systèmes (diagrammes de blocs), Cette tâche, dans un environnement de CAO, est couramment appelée saisie de schémas. La validation de l'architecture demande des modèles comportementaux. Pour la partie microélectronique, ces modèles sont normalement accessibles dans les bibliothèques, sinon il faudra développer une description avec un langage HDL.

Le développement et l'intégration des MicroElecto Mechanical System (MEMS) sur puce présentent un grand intérêt dans le milieu de la recherche et de l'industrie. Ceci, est dû essentiellement aux progrès de la technologie des M EM S ainsi que l'utilisation de ce genre de composants dans différents domaines: médecine, automobile, robotique, communication, etc. Le processus de développement des MEMS doit répondre aux contraintes de production. Ce qui exige la minimisation du temps de développement et le coût en éliminant certains cycles de conception et de prototypage. Cependant, les progrès technologiques permettent la conception de MEMS plus complexes et nécessitent des méthodes de modélisation et de simulation "top-down". Cette méthode repose sur une description des systèmes à un haut niveau d‘abstraction, ce qui facilite la simulation et la validation des modèles avant de procéder au raffinement des niveaux d'abstraction. Le plus haut niveau d'abstraction est le niveau système.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 51

Chapitre 1: État de l'art: conception de Microsystèmes méthodologie associée

Dans le cadre de notre étude, nous serons donc attentifs à, d’une part, apporter les outils pour faciliter les échanges entre les différents partenaires d’un projet, et d’autre part, rendre la conception plus réactive aux changements de spécification.

Un microsystème est une entité fondamentalement multifonctionnelle et pluridisciplinaire, en raison de l’accélération du cycle de mise en production, les outils d’automatisation de la conception prennent de plus en plus d’ampleur. Il n'existe cependant pas à l'heure actuelle de plateforme CAO complète permettant la modélisation et la simulation du microsystème dans son ensemble. Pour chaque étape, un logiciel spécifique est nécessaire. L’incompatibilité entre les différents logiciels utilisés conduit souvent à un manque d'efficacité dans la chaîne de développement. Pour pallier ce défaut et rendre la modélisation au niveau système abordable par les non spécialistes, nous avons développé un outil piloté à partir d’une IHM.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 52

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

Chapitre 2 Automatisation de la modélisation de capteur en VHDL-AMS Sommaire

2.1. Introduction: ................................................................................ 54 2.2. Modélisation générale ................................................................... 56 2.2.1. Méthode de modélisation de capteur............................................. 59

2.2.2. Modélisation d’un transducteur en VHDL-AMS........................... 63 2.2.3. Exemple : Accéléromètre à peigne capacitif ................................ 68

2.3. Générateur automatique d’un modèle de capteur en VHDL-AMS..72 2.3.1. Analyser le projet ......................................................................... 77 2.3.2. Travail réalisé : présentation du générateur .................................. 84

2.4. Conclusion .................................................................................... 90

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 53

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

2. Automatisation de la modélisation de capteurs en VHDL-AMS

2.1. Introduction

Comme nous avons présenté dans le chapitre précédent, la conception de microsystèmes est une tâche très ardue de nos jours même avec une technologie à la pointe. La complexité vient du mélange de plusieurs domaines de compétence. Le développement est difficile car pour réduire les coûts, il faut réduire le temps de conception, réduire au maximum la fabrication de prototypes [MIR02a]. Pour éviter cela il faut disposer de méthodes et d’outils permettant d’automatiser certaines phases de la conception de microsystèmes dans les meilleurs conditions et aux plus proche de la réalité pour être adapté aux caractéristique du produit à réaliser.

A l'heure actuelle, il n'existe pas de plate forme CAO complète qui permette la conception d'un microsystème complet, commençant par la spécification en allant jusqu'à la réalisation des masques. Pour chaque étape de conception, il faut utiliser un logiciel spécialisé. L’incompatibilité entre les logiciels employés introduit un manque d'efficacité dans la chaîne de développement et l’hétérogénéité dans le domaine de fabrication qui demande plusieurs spécialistes travaillant en collaboration. Cependant, la conception et le développement de ces systèmes, qui consiste à intégrer et miniaturiser sur un même support des fonctions électroniques, mécaniques, optiques et/ou fluidiques, nécessite des connaissances pluridisciplinaires et des outils adaptés pour la simulation.

Dans le cadre spécifique de la modélisation et de la simulation des microsystèmes, il faut prendre en compte que cette étape est effectuée par une équipe pluridisciplinaire, dont chacun des membres a une connaissance pointue dans son domaine. A ce stade, il est important que chacun des acteurs puisse développer sa partie du système, sans avoir de connaissances précises sur la partie en amont ou en aval de la sienne et sur les langages de programmation et de modélisation. Dans l'exemple du capteur cité plus haut, le physicien qui va développer le capteur va pouvoir le faire sans se soucier de la partie électronique de traitement qui est développée par l'électronicien. Le besoin d'un langage de modélisation commun apparaît tout naturellement, pour assembler plus facilement les modèles de chacun et simuler l'ensemble, pour ajuster les paramètres et optimiser le produit final qu’est le microsystème.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 54

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

Le regroupement et la miniaturisation des capteurs sur une puce à été initié dans les années 1990 et est présenté dans le Tableau 2.3. Première génération des capteurs communicants Les premières études ont d’abord porté sur la compatibilité des procédés technologiques de fabrication des parties capteurs et traitement de signal. Le but consiste à reporter, au plus prés de la partie sensible, un premier étage de traitement de signal (amplification, multiplexage, filtrage). Plus tard, dans les années 2000, les études ont tenté de réaliser un regroupement de micro capteurs sur une puce (Smart). [FEL08].

Au moment du développement, il est indispensable de pouvoir simuler cet ensemble en interaction, afin d'avoir un prototype le plus proche des spécifications du cahier des charges. A cet effet, la simulation permet un gain de temps et d'efficacité, qui constitue un facteur très important dans le développement pour un ingénieur.

Le tableau présente la première génération de systèmes qui ont pris pour base cette même configuration architecturale.

Tableau 2.3. Première génération des capteurs communicants

Par exemple, dans le domaine biomédical, un microsystème peut se

présenter sous la forme de capteur de débit sanguin équipé d’une micro pompe ou encore d’un capteur de température. Dans l’automobile, et plus précisément dans le système airbag on retrouve un microsystème qui gère tout le dispositif de sécurité :

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 55

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

accéléromètre, électronique de détection, déclencheur du coussin gonflable. Avec une telle technologie, cela permet de réduire les coûts de production, miniaturiser les équipements pour pouvoir en intégrer davantage.

Afin de simplifier le développement d’un système complexe, on se propose de réunir les tâche suivantes par l’intermédiaire d’un logiciel permettent de générer des fichiers VHDL-AMS utilisable par tous les logiciels de simulation acceptant ce standard :

Modélisation, simulation Synthèse de microsystème Éventuellement conception dans un environnement particulier

Avec une telle simplification, une seule personne est en mesure de réaliser un système. Aucune connaissance en interfaçage ou modélisation n’est nécessaire pour pouvoir développer tout le système. Seule la connaissance du capteur est requise pour pouvoir développer un système complet.

Pour commencer à répondre à ce besoin et faciliter l'étape de modélisation, le travail peut se décomposer de la manière suivante :

Développement et caractérisation du capteur Etude du comportement du capteur modélisation et simulation Intégration du modèle du capteur dans un système

Le langage retenu pour la simulation des systèmes est le VHDL-AMS. Ce langage de haut-niveau permet de faire des simulations numériques et analogiques, tout en donnant la possibilité de simuler des systèmes avec différentes grandeurs physiques : mécaniques, thermiques, électriques.

Donc, le but général de ce chapitre est de développer un générateur automatique de modèles VHDL-AMS de composants de base, notamment dans les domaines thermique, mécanique, électronique, etc. qui permet à l’utilisateur de générer un modèle VHDL-AMS de capteur sans beaucoup de connaissance en interfaçage ou en langage de modélisation, seule la connaissance du capteur à interfacer lui sera nécessaire. Ces modèles peuvent être ajoutés à une bibliothèque de cellules standards, le travail demandé consiste, dans un premier temps, à se familiariser avec la modélisation comportementale, à base de VHDL-AMS, de cellules standards, et dans un deuxième temps de créer et tester divers modèles de capteurs génériques (humidité, accéléromètre, vitesse,…).

2.2. Modélisation générale Un capteur est un dispositif transformant l'état d'une grandeur physique observée en une grandeur utilisable, une tension électrique, une hauteur de mercure, une intensité, la déviation d'une aiguille, etc. En physique et en sciences de l’ingénieur,

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 56

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

mesurer consiste à comparer une grandeur physique qui caractérise un objet (ou un événement) avec celle de même nature choisie comme unité de mesure. La valeur numérique de la grandeur mesurée est le nombre qui fixe la relation entre la grandeur mesurée et l’unité de mesure choisie. Le dispositif qui permet de réaliser la mesure est un instrument de mesure (ou appareil de mesure).

L'instrument de mesure se distingue du simple capteur par le fait qu'il est autonome et dispose de son propre organe d'affichage ou de stockage des données. Par opposition, le capteur peut n'être que l'interface entre un processus physique et une information manipulable.

Les capteurs sont les éléments de base des systèmes d'acquisition de données. Leur mise en œuvre est du domaine de l'instrumentation. On fait souvent la confusion entre capteur et transducteur : le capteur est au minimum constitué d'un transducteur. Les données obtenues à partir d’un transducteur doivent alors être traduites en un signal compréhensible par l’homme, un ordinateur ou encore un appareil de mesure. Une telle tâche est en général réalisée par une électronique appelée interface capteur.

Les fonctions réalisées par l’interface capteur peuvent aller d’une simple amplification ou de filtrage, à la conversion analogique - numérique, le calibrage, le traitement du signal voire l’intégration d’un bus de communication.

Pour pouvoir développer un outil qui va permettre de modéliser la majorité des capteurs, il est important d'étudier quelques généralités sur ces deniers et d'en extraire les principaux éléments qui vont permettre de guider l'utilisateur pendant l’étape de modélisation.

Les capteurs peuvent être classés suivant différents critères. Ils sont classés en deux catégories : les capteurs auto-générés et les capteurs de modulation. Par exemple, un thermocouple où une variation de température produit une différence de potentiel directement mesurable, appartient à la famille des capteurs auto-générés car le signal capté est la source même d’énergie qui fournit le signal électrique mesuré en sortie [ASC03]. Par contre, une résistance de platine alimentée par un courant constant où la variation de température produit une variation de résistance détectable par une variation de tension à ses bornes, appartient à la famille des capteurs de modulation car le signal capté module un signal fourni par le système. Ils sont aussi classés par rapport à leur apport énergétique : actif et passif, et par types de sortie : analogique et numérique. Ces derniers sont détaillés dans le tableau suivant :

Type de capteur Identification Exemple Capteur passif Ils ont besoin dans la plupart des cas

d'apport d'énergie extérieure pour fonctionner. Ce sont des capteurs modélisables par une impédance. Une

- thermistance - photorésistance - potentiomètre

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 57

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

variation du phénomène physique étudié (mesuré) engendre une variation de l'impédance. Il faut leur appliquer une tension pour obtenir un signal de sortie.

Capteur actif Ils sont constitués de transducteurs qui généralement n'ont pas besoin d'alimentation Ce sont des capteurs que l'on modélise par des générateurs. Ainsi ils génèrent soit un courant, soit une tension, soit une charge électrique en fonction de l'intensité du phénomène physique mesuré.

- thermocouple, - photodiode - capteur piézoélectrique

Capteur analogique La sortie est une grandeur physique dont la valeur varie de manière continue avec la grandeur physique mesurée par le capteur. Le signal des capteurs analogiques peuvent être du type : tension, courant, …

- capteur à jauge de contrainte - thermocouple

Capteur numérique La sortie est une séquence d'états logiques qui, en se suivant, forment un nombre. Le signal des capteurs numériques peuvent être du type : train d'impulsions, avec un nombre précis d'impulsions ou avec une fréquence précise code numérique binaire

- les capteurs incrémentaux - les codeurs absolus

Tableau 2.4. Classification des capteurs

Dans la structure de base du capteur illustré dans le Figure 2.11, une chaîne d’acquisition doit pouvoir :

1. Extrait l’information des grandeurs physiques et les traduire en signaux électriques au moyen d’un capteur.

2. Traiter le signal analogique par l’amplificateur et filtrage 3. Sélectionner parmi les signaux disponibles un seul signal requis à

l’aide d’un multiplexeur 4. Convertir le signal sous forme numérique à l’aide d’un

convertisseur analogique-numérique. Enfin un bloc de traitement numérique (calculateur) assure la coordination

des opérations précédentes et effectue divers traitements numériques. Des conditions particulières peuvent nécessiter l’adjonction de fonctions

supplémentaires comme, par exemple, la communication et l’autonomie en énergie (indispensable dans le cas d’un système radiofréquence) [DEG87] [FEL08].

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 58

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

Capteur1 Capteur i Capteur N

Conditionneur 1

Amplificateur

Filtre

Multiplexeur

Echantillonneur -bloqueur

Convertisseur Analogique/Numérique Calculateur

Système de

Figure 2.11. Structure d’une chaîne d’acquisition

Par la suite, nous allons détailler la partie du capteur en commençant par la méthodologie de modélisation utilisée, pour terminer avec le générateur automatique d’un modèle de ce capteur en VHDL-AMS.

2.2.1. Méthode de modélisation de capteur La modélisation de capteur dans le cadre de la réalisation d’un microsystème est un atout pour la réduction des coûts de développement. Afin de pouvoir effectuer des simulations dans les meilleures conditions, le modèle établi du capteur doit correspondre au mieux à la réalité [VAN03].

Dans notre cas d’étude, nous tentons de mettre en place une modélisation « universelle » afin de pouvoir modéliser n’importe quel type de capteur.

Dans la méthode de modélisation de base chaque capteur est décomposé en une suite de transducteurs (Figure 2.12). Chaque transducteur doit contenir au moins une équation reliant la grandeur physique d'entrée à celle de sortie.

Lors de la décomposition il est possible qu'un transducteur soit trop complexe à modéliser par un seul bloc. Dans ce cas, il est possible de le découper

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 59

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

en deux ou plusieurs blocs où les grandeurs d'entrée-sortie sont des paramètres réels, ou bien des grandeurs physiques.

Figure 2.12. Modélisation générale d’un capteur La définition de bloc est alors plus large que celle de transducteur.

Cependant, il faut toujours être vigilant à ce que la grandeur d'entrée et de sortie soient reliées. Plus précisément, il faut que les équations contenues dans un bloc permettent de calculer la sortie en fonction de l'entrée. Le simulateur se charge alors de trouver la valeur des inconnus de sorte à satisfaire toutes les équations dans leur globalité et non pas de manière indépendante. Cette méthode va garantir que la sortie est définie de manière univoque par rapport à l'entrée.

Sur le schéma de principe de la Figure 2.13 nous présentons un capteur de manière générale décomposé en plusieurs transducteurs placés en série. Il est également envisageable que les liaisons entre les transducteurs soient en parallèle ou qu’il y ait un assemblage totalement différent.

Figure 2.13. Capteur de pression

Comme nous pouvons le voir dans cet exemple « capteur de pression », le

capteur n’est pas forcément composé d’une seule sortie, et les liaisons ne sont pas

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 60

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

toujours en série. Il faut alors que l’utilisateur puisse définir lui-même les interconnections entre les transducteurs et les ports d’entrées/sorties du capteur.

En résumé voici les différentes étapes de modélisation qui doivent être traitées avant de commencer à utiliser le générateur automatique [TRE05].

Décomposer le capteur en une chaîne de transducteurs. Décomposer un transducteur en une chaîne de blocs dans la mesure

du raisonnable si la modélisation en un seul bloc est trop lourde. Associer les équations dans chaque bloc qui permettront de calculer

la sortie en fonction de l'entrée. Les éléments qui ont motivé notre choix pour définir les différentes étapes

de modélisation sont les suivantes : Le premier point permet à l'utilisateur de bien comprendre les

mécanismes qui sont mis en jeu pour la mesure de la grandeur physique. Ce découpage permet d'avoir une première approche globale du capteur avant de le traiter de manière 'locale' par l'intermédiaire des blocs. Le deuxième point apporte plus de clarté à la modélisation et

facilite le travail. En découpant un gros transducteur en plusieurs petits éléments, il est possible de travailler sur un point précis de celui-ci, indépendamment des autres. Ainsi on peut réaliser un travail d'équipe, non seulement sur le capteur en entier, avec une personne affectée par transducteur, mais aussi sur un transducteur qui aura été préalablement découpé. Le troisième point n'est pas vraiment indépendant des deux autres,

puisque certaines relations mathématiques vont motiver le choix du découpage du capteur. Mais cette étape permet de vérifier que l'ensemble 'découpage en blocs' et 'équations mathématiques' forment un tout cohérent au niveau des entrées-sorties. Par exemple, au moment de la simulation, cela va permettre d'éviter des erreurs, parce qu'une grandeur définie en sortie ne s'exprime pas par rapport à l'entrée par l'intermédiaire d'un jeu d'équations [TRE05].

Une fois ces étapes effectuées, l'utilisateur va pouvoir passer par le générateur et générer automatiquement le modèle du capteur en langage VHDL-AMS. Cette méthode peut nécessiter des retours en arrière, elle n'est pas directe dans le sens ou une décomposition n'est pas unique et ne s'obtient pas d'un seul coup. Afin de bien synthétiser les différentes étapes voici un diagramme illustratif (Diagramme 2.4) :

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 61

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

Fin de décomposition

Regroupement des équations de chaque transducteur ou block

Décomposition le transducteur ou le block en une chaine de blocks

Nécessité de décomposition le transducteur en plusieurs

Nécessité de décomposition le

block en plusieurs blocks ?

Oui

Oui Non

Non

Décomposition le capteur en une chaine de

Diagramme 2.3. Diagramme explicitant la méthode à appliquer pour découper un capteur.

A fin de déterminer une méthode pour la génération automatique, des capteurs de nature différente ont été étudiés. Ils représentent bien les technologies actuelles en appliquant cette méthode de modélisation, que nous venons de décrire, pour ces deux capteurs :

1. Capteur d’humidité : capteur conçu par la société Humirel, il a été étudié par Romuald Gallorini durant sa thèse [GAL00].

2. Accéléromètre à peigne capacitif : capteur que nous allons étudier est celui proposé par Mr Condemine lors de sa thèse [CON06] [TRE05].

Dans la suite de ce chapitre nous allons présenter la modélisation et la simulation de l’accéléromètre à peigne capacitif, en expliquant comment générer le code VHDL-AMS correspondant. Le capteur d’humidité sera détaillé au quatrième

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 62

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

chapitre dans notre application de microsystème (capteur d’humidité et convertisseur analogique numérique).

Figure 2.14. Structure d’un modèle en VHDL-AMS Il est intéressant tout d’abord de voir comment les modéliser puis de

transcrire leur comportement en langage VHDL-AMS. Un modèle écrit en langage VHDL-AMS a été réalisé pour chaque capteur. Chaque modèle a ensuite été simulé avec le logiciel Simplorer d’Ansoft. Il est doté d’une interface graphique ce qui le rend très convivial et son utilisation est intuitive.

Pour chaque étude, une comparaison a été faite entre les résultats obtenus de la modélisation en VHDL-AMS et les résultats des dossiers étudiés afin de valider la modélisation.

2.2.2. Modélisation d’un transducteur en VHDL-AMS

Avant de parler de la modélisation de transducteurs en VHDL-AMS, nous présentons rapidement ce langage de programmation.

Le langage VHDL-AMS est un standard IEEE (IEEE 1076.1-1999) qui a été développé comme une extension du langage VHDL pour permettre la modélisation et la simulation de circuits et de systèmes analogiques et mixtes logiques-analogiques. VHDL-AMS constitue un sur-ensemble de VHDL, ce qui

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 63

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

signifie principalement que les extensions apportées dans VHDL-AMS conservent les principes VHDL : modularité, déclarations, typage fort des données, flexibilité, extensibilité [HER02]. L’unité de conception (design unit, voir Figure 2.15) est le plus petit module compilable séparément. VHDL-AMS offre cinq types d’unités de conception :

La déclaration d’entité (entity declaration); Le corps d’architecture (architecture body), ou plus simplement

architecture; La déclaration de configuration (configuration declaration); La déclaration de paquetage (package declaration); Le corps de paquetage (package body).

Les trois premières unités de conception (déclaration d’entité, architecture et déclaration de configuration) permettent la description de l’aspect matériel d’un système, alors que les deux dernières (déclaration et corps de paquetage) permettent de grouper des informations pouvant être réutilisées pour la description de plusieurs systèmes différents.

Un capteur est décomposé en plusieurs transducteurs pour pouvoir bien faire intervenir toutes les grandeurs physiques qui interagissent. Un transducteur est considéré comme une simple fonction de transfert, la modélisation sera uniquement faite par rapport à la relation de la sortie en fonction de/des entrée(s). Pour chaque transducteur, sa description en VHDL-AMS est à peu près de la même forme. Voici un exemple le plus parlant :

Un transducteur dont la modélisation donne la relation suivante entre la sortie et l’entrée :

xDbaV ∗++∗= )2( :

Où V est une tension, x un déplacement, D un paramètre technologique puis a et b sont des coefficients.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 64

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

Les entrées

Les sorties

t

2*a +bV

x

Figure 2.15. Passage de la mo

Dans notre méthode de

pour n’importe quel transductequ’un polynôme, une fonction s

Les grands constituants Déclarations des paqueta Ouverture de bibliothèq Déclarations d’utilisatio Spécification d’entité …

Définition des par Définition des por

SIGNAL discrets.

QUANTIT

HAMOUI Rima / Thèse en électronique 2009 / In

Library work; Use work.electrical_system.all ; Use work.deplacement_system.all ; Entity transducteur is Generic ( D : real := 12.5 ); Port ( terminal xp, xm :deplacement; terminal vp, vm : electrical ); end; architecture beh of transducteuris constant a : real := 1.2; constant b : real := 5.12; quantity x across xI through xp to xm; quantity v across vp to vm; begin -- loi de variation v == (2*a+b+D)*x; end;

délisation en VHDL-AMS

modélisation générale nous pouvons dur qui est caractérisé par une fonctiinus, exponentielle. d’un modèle VHDL-AMS : ges ………PACKAGE

ues ……… LIBRARY n du contenu des bibliothèques ouverte…………… ENTITY amètres génériques ……………….……ts de connexion possible ……………….(in / out) support des informations

Y (in / out) support des informations sig

stitut National des Sciences Appliquées de Lyon

Déclaration des ports E/S

Coefficients

Définition des grandeurs en fonctions

ENTITY

Fonctions de transfert

Paramètretechno

onc l’utiliser on simple tel

s ……USE

GENERIC .PORT à événements

nal-flow.

65

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

TERMINAL support des connexions « Kirchoff ». Architecture de l’entité.

Zone de déclaration. Corps de l’architecture.

Instanciations de composants. [Support de la hiérarchie]. Instructions concurrentes. (Dont les PROCESS). [Traitement à

événements discrets]. Instructions simultanées [Traitement temps continu].

1- Packages :

Un paquetage est un module purement logiciel, pris séparément, ne correspond à aucune structure matérielle. Il regroupe les éléments d’une boite à outils dont l’assemblage dans un couple entité-architecture sert à construire le modèle d’un circuit. PACKAGE NomDeLaNouvelleNature_system IS

SUBTYPE NomDuPotentielDeLaNouvelleNature IS real; SUBTYPE NomDuFluxDeLaNouvelleNature IS real; NATURE NomDeLaNouvelleNature IS NomDuPotentielDeLaNouvelleNature ACROSS NomDuFluxDeLaNouvelleNature THROUGH NomDeLaNouvelleNature_ref REFERENCE;

END PACKAGE NomDeLaNouvelleNature_system;

Avec le VHDL-AMS, il est possible de « créer » des grandeurs physiques et pour chaque port, en attribuer une. L’avantage de ce principe est que l’utilisation d’un composant devient restreinte, c’est à dire qu’on ne peut pas relier deux ports de nature différente ensemble.

Le paquetage est constitué d’une partie visible de l’extérieur, contient principalement de déclaration de sous-type et de la nature. En VHDL-AMS, toutes grandeurs physiques se définissent sous la forme de « potentiel » et de « flux ».

Pour pouvoir définir une nouvelle grandeur physique, deux grandeurs sont requises. Cela est basé sur le principe des lois de Kirchoff, pour une connexion donnée un potentiel et un flux définissent les propriétés de ce nœud. Et même pour une grandeur « fictive » cela est possible. Par exemple pour une déformation qui se mesure en centimètre, il est possible de la définir en déclarant la déformation en tant qu’un potentiel puis donner n’importe quel nom pour le flux.

2- Library ;

La compilation d’une unité de conception génère un fichier dont le format n’est pas standard et donc dépend de l’outil VHDL-AMS utilisé. Le résultat de la compilation est stocké dans une bibliothèque de conception contenu dans un répertoire Unix ou un dossier Windows. Un modèle VHDL-AMS ne considère que

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 66

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

des bibliothèques logiques et l’association à des emplacements physiques doit être faite dans l’environnement VHDL-AMS utilisé.

VHDL-AMS possède deux bibliothèques logiques prédéfinies: La bibliothèque de noms logiques WORK qui est le dépositaire de toutes les unités de conception compilées et la bibliothèque de noms logiques STD est prédéfinie et contient deux unités : le paquetage STANDARD, qui inclut les définitions des types, opérateurs et sous-programmes prédéfinis, et le paquetage TEXTIO, qui inclut les définitions et les sous-programmes relatifs au traitement de fichiers textes.

3- Entity :

Une entité de conception est constituée d’une déclaration d’entité et d’un corps d’architecture correspondant. Une entité de conception peut représenter un système matériel à plusieurs niveaux de complexité : un système entier, un Sous-système, une carte, un circuit intégré, une cellule complexe (p.ex. ALU, mémoire, convertisseur A/N, filtre, amplificateur opérationnel, etc.), une porte logique, ou un transistor. La partie de déclaration d’entité définit l’interface d’un modèle avec le monde extérieur au moyen de ports. Les ports peuvent être de plusieurs classes:

Signal (signal) définissent des canaux de communication directionnels (entrées (mode in), sorties (mode out) ou bidirectionnels (mode inout)) modélisant des signaux logiques. Terminal (terminal) définissent des points de connexions

analogiques adirectionnels pour lesquels les lois de conservation de l’énergie (lois de Kirchhoff pour les circuits électriques ou relations équivalentes pour les systèmes non électriques) sont satisfaites. Quantité (quantity) définissent des points de connexions

analogiques directionnels d’entrée (mode in) et de sortie (mode out) pour lesquels les lemmes de Kirchhoff ne doivent pas être satisfaits.

La déclaration d’entité peut également définir des paramètres génériques (generic parameters) qui serviront à rendre le modèle plus général.

4- Architecture :

Une architecture définit le comportement et/ou la structure du système modélisé. Elle est logiquement reliée à une entité et hérite ainsi de toutes les déclarations faites à ce niveau. Chaque programme VHDL-AMS présente un corps d’architectures pour les entités données au Code du programme.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 67

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

Description structurelle et configuration de l’architecture: Une vue interne (architecture) possible en VHDL-AMS est une description

structurelle pour laquelle le modèle est une interconnexion de composants, avec éventuellement un nombre de niveaux hiérarchiques non limité. Une architecture structurelle peut être décrite de deux manières. La première manière utilise des déclarations de composants pour définir les besoins de l’architecture. Ces déclarations sont purement locales et ne sont pas nécessairement reliées à des entités de conception particulières. Une déclaration de configuration est nécessaire pour établir ces liens (le corps de l’architecture).

Donc, le capteur doit être vu sous la forme d’un ensemble de composants et chaque transducteur sera donc définit indépendamment lors de l’utilisation du logiciel.

Pour chaque capteur, on lui attribuera un nom, pour décrire sa caractéristique, et d'autres données sont nécessaires :

les entrées / sorties du capteur l’équation de la fonction de transfert la définition de tous les éléments de l’équation : les paramètres

technologiques, les coefficients et les valeurs intermédiaires Dans la suite nous allons appliquer cette méthode de modélisation, que

nous venons de décrire, pour un accéléromètre à peigne capacitif.

2.2.3. Exemple : Accéléromètre à peigne capacitif

Les accéléromètres sont largement utilisés dans le monde industriel : pour les systèmes d’airbag, comme détecteur de mouvement, dans un pacemaker ou dans les interfaces homme/machine telles que des manettes de jeu utilisant des inclinomètres [[GAL00].

L'accéléromètre est formé d'une masse sismique mobile maintenue par deux bras de suspension. La mesure ne se fait que dans une direction, celle ou la masse sismique est mobile. Des capacités inter-digitées sont formées par les peignes des électrodes fixes et par les peignes de la masse sismique (Erreur ! Source du renvoi introuvable.).

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 68

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

Figure 2.16. L’accéléromètre à peigne capacitif Un déplacement de la masse sismique va induire une variation des

capacités dont la distance entre les armatures au repos est de « e0 ». Cette variation de capacité va être mesurée à l'aide d'un amplificateur à capacité commutée et la tension recueillie va entrer dans un modulateur puis un démodulateur sigma-delta comme il est illustré à la Figure 2.17.

Le signal en sortie du modulateur sigma-delta est filtré à l'aide d'un filtre sinus cardinal, pour former un signal numérique sur n bits. La tension qui sort de l'amplificateur à capacités commutées n'est autre que l'image de l'accélération et qui, appliquée sur les électrodes fixes, va créer une force électrostatique qui s'oppose au mouvement.

Figure 2.17. Microsystème composant l'accéléromètre

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 69

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

Donc, sous ces conditions, l’accéléromètre peut être décrit par l’équation différentielle suivante :

γµ mFxktx

eA

txm elec =+×+

∂∂

××+∂∂

30

2

2

22

² (1)

m : représente le poids de la masse sismique, m=7.23e-9kg. k : la raideur des bras de suspension, k=17.66N/m γ: l'accélération extérieure appliqué au capteur A : une constante liée à la géométrie du capteur, etµA²/2=4.3e-21 Felec : représente les forces électrostatiques imposées sur la masse sismique.

))()(

(*21

20

21

20

22

0 xeV

xeVSFelec −

−+

= ε (2)

µ : viscosité, µ=1.8e-5 USI e0 : la constante diélectrique du vide, e0=1/ (36*Pi) S : la surface en regard entre l'électrode fixe et la masse sismique, S=3.06e-7m² x : le déplacement de la masse V1, V2 : tensions appliquées respectivement sur les électrodes fixes 1 et 2. e0 : l'entrefer à la position centrale, de 2µm. Les capacités formées par les peignes interdigités:

xeSC

+=

0

01

*ε (3)

xeSC

−=

0

02

*ε (4)

Maintenant que nous avons toutes les équations décrivant le comportement de l'accéléromètre, nous allons pouvoir passer à la modélisation en VHDL-AMS.

Pour générer le code VHDL-AMS de notre exemple, nous allons suivre la même méthode que précédemment (diagramme 2.4).

1. Décomposer le capteur en une chaîne de transducteur : l’accéléromètre peut être décomposé par rapport à sa fonction en deux transducteurs principaux :

Transducteur du comportement de la masse sismique (entrée γ, sortie x) Transducteur de la capacité formé par les peignes

interdigités (entrées x, V1, V2, sortie C1, C2) Chaque transducteur est décrit par ses équations caractéristiques.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 70

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

Figure 2.18. Décomposition de l'accéléromètre en transducteurs

2. Est ce que les équations suggèrent de décomposer un transducteur ? Notre schéma n'est pas tout à fait applicable au jeu d'équations que nous

possédons. En effet, le dernier bloc pose toujours le même problème des courants et tensions que nous allons résoudre en rajoutant les équations suivantes :

111 VCQ = (5)

222 VCQ = (6)

tQI∂∂

= 11 (7)

tQI∂∂

= 22 (8)

Ces équations peuvent faire l'objet d'un nouveau bloc mais à titre d'exemple nous n'allons pas le rajouter. Nous pourrons analyser les conséquences par la suite.

3. Associer à chaque transducteur et blocs les équations. Comportement de la masse sismique : Entrée : γ Sortie : x Équation :

γµ mFxktx

eA

txm elec =++

∂∂

+∂∂ **2*

30

2

2

Modélisation de la capacité formée par les peignes inter-digités : Entrée : x, V1 et V2 Sortie : C1 et C2. Équations :

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 71

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

xeS

C

xeS

C

xeV

xeVSFelec

−=

+=

−−

+=

0

02

0

01

20

21

20

22

0

*

*

))()(

(*21

ε

ε

ε

tQI

tQI

VCQVCQ

∂∂

=

∂∂

=

==

22

11

222

111

4. Est ce qu'un bloc ou transducteur est trop lourd en équations ? Le dernier bloc contient 7 équations alors que le premier n'en contient

qu'une seule. En créant le bloc 'modèle électrique' la répartition des équations est de 1, 3 et 4 ce qui est plus homogène. Pour voir l'impact sur le code nous allons tout de même continuer sans découper le dernier bloc.

5. Fin de la décomposition. A ce point, il faut introduire ces résultats dans notre interface graphique

afin de générer le code VHDL-AMS correspondant. Pour savoir quelles données essentielles peuvent être entrées dans l’interface, nous allons présenter notre générateur par la suite.

2.3. Générateur automatique d’un modèle de capteur en VHDL-AMS

Pour la simplification des outils CAO des microsystèmes, notamment dans le domaine du prototypage virtuel, une modélisation fidèle est nécessaire et de plus avoir des outils simples d’utilisation est un atout. L’objectif du logiciel est de simplifier une étape du flot de conception de microsystèmes.

Le générateur permet à une personne, sans beaucoup de connaissance, de générer un code VHDL-AMS d’un capteur à partir d’une modélisation « papier ».

Le but du logiciel est de récupérer toutes les informations nécessaires décrites dans l’interface homme/machine la partie de la modélisation générale. A

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 72

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

partir de ces données recueillies, le logiciel est en mesure de générer le fichier correspondant à la modélisation du capteur.

Ce qui signifie que l’utilisateur a au préalable effectué une modélisation sur papier pour pouvoir renseigner tous les champs qui lui seront demandés pendant les différentes étapes du logiciel.

Donc, afin de pouvoir réaliser ce générateur de modèles de capteurs, dans un première temps, il est nécessaire de suivre la méthode de modélisation présentée dans la partie précédente sur la décomposition de capteur à plusieurs blocs, ensuite, de commencer étape par étape à intégrer toutes les informations nécessaires pour que le générateur soit capable de générer le code correspondant.

Pour définir les différentes étapes de modélisation, il doit distinguer plusieurs points qui ont expliqué notre générateur:

Le premier point est de déterminer le nom du capteur qui permet à l'utilisateur de bien utiliser les mécanismes qui sont mis en jeu pour intégrer les grandeurs physique et les ports entrée/sortie du capteur. Le deuxième point apporte plus de clarté à la modélisation et

facilite le travail. En découpant le capteur en plusieurs petits blocs. Le troisième point n'est pas vraiment indépendant des deux autres,

puisque certaines relations mathématiques vont motiver le choix du découpage du capteur. Mais cette étape permet de vérifier que l'ensemble 'découpage en blocs' et 'équations mathématiques' forment un tout cohérent au niveau des entrées-sorties. Par exemple, au moment de la simulation, cela va permettre d'éviter des erreurs parce qu'une grandeur définie en sortie ne s'exprime pas par rapport à l'entrée par l'intermédiaire d'un jeu d'équations. Le quatrième point permet à l’utilisateur de déclarer, pour les

portes entrées/sorties de le capteur, une nouvelle nature (package) si les natures disponibles ne correspondent pas à nos attentes, et une nouvelle librairie en cas de besoin.

Une fois ces étapes effectuées, l'utilisateur va pouvoir générer automatiquement le modèle de son capteur en VHDL-AMS.

Cette structure sera détaillée par le diagramme (diagramme 2.5) suivant en indiquant les champs obligatoires et les champs facultatifs :

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 73

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

T1 : Elaboration le niveau de simulation

T2 : Saisie du nom du capteur et la description (obligatoire)

R2 : respect des normes du langage VHDL-AMS pour permettre une utilisation dans n'importe quel environnement

T7: Saisir les équations pour chaque block (facultatif)

T3 : Définition du capteur

R3 : plusieurs types de capteur modélisés

T8 : Génération Automatique du fichier

T6 Déclaration les nouvelles nature et les librairies exigées (facultatif)

R6 : Déclaration des ressources

T3 : Choix un système ou un composant

T4: Définition des grandeurs physiques et les ports entrées/sorties (facultatif)

T5: Décomposition le capteur un plusieurs blocks (obligatoire pour entrer les équations)

R5 : Définition de transducteur

R8 : Code VHDL-AMS généré pour le capteur

R7 : Les fonctions

Diagramme 2.4. La structure du générateur de code

Le squelette que nous avons utilisé pour générer les fichiers VHDL-AMS est le suivant : ---------- VHDLAMS MODEL NomDuCapteur ---------- PACKAGE NomDeLaNouvelleNature_system IS SUBTYPE NomDuPotentielDeLaNouvelleNature IS real; SUBTYPE NomDuFluxDeLaNouvelleNature IS real; NATURE NomDeLaNouvelleNature IS NomDuPotentielDeLaNouvelleNature ACROSS

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 74

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

NomDuFluxDeLaNouvelleNature THROUGH NomDeLaNouvelleNature_ref REFERENCE; END PACKAGE NomDeLaNouvelleNature_system; LIBRARY ... ; USE ... ; ---------- ENTITY DECLARATION NomDuCapteur ---------- ENTITY NomDuCapteur IS generic ( NomDeLaGrandeur : type de grandeur := ValeurDeLaGrandeur ); -- Commentaire port ( terminal NomDuPotentielin , NomDuPotentielout : NatureDuPort; -- Commentaire terminal / signal / Quantity NomDuPotentielin : NatureDuPort; -- Commentaire terminal / signal / Quantity NomDuPotentielout : NatureDuPort ); -- Commentaire END ENTITY NomDuCapteur; -------- ARCHITECTURE DECLARATION arch_NomDuCapteur ---------- ARCHITECTURE arch_NomDuCapteur OF NomDuCapteur IS quantity NomDuPotentiel across NomDuFlux through NomDuPotentielin to NomDuPotentielout; -- Commentaire quantity NomDuPotentiel across NomDuFlux through NomDuPotentielin; -- Commentaire quantity NomDuPotentiel across NomDuFlux through NomDuPotentielout; -- Commentaire constant NomDeLaGrandeur :type de grandeur := ValeurDeLaGrandeur; -- Commentaire Quantity/ signal NomDeLaGrandeur : type de grandeur; -- Commentaire Quantity /signal NomDeLaGrandeur : type de grandeur := ValeurEventuelleDeLaGrandeur ; -- Commentaire BEGIN Equation; -- Commentaire END ARCHITECTURE arch_NomDuCapteur; ---------- END VHDLAMS MODEL NomDuCapteur ---------

Ce squelette représente un capteur pour lequel on aurait utilisé toutes les

possibilités offertes. Ainsi, plusieurs définitions sont facultatives et seules celles qui sont nécessaires seront générées. Le squelette prévoit également les possibilités suivantes :

Tout d’abord il faut donner le nom de capteur qui va être l’Entity du modèle VHDL-AMS.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 75

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

Après l’identification de toutes les grandeurs et les entrée/sortie de notre capteur et pour activer l’onglet d’équation, il faut décomposer ce capteur à plusieurs blocs pour entrer le nom de bloc dans l’onglet correspondant.

Il est important de distinguer les différents types de la classe « quantity » et « terminal » :

- Une quantité sert à modéliser une quantité physique électrique, mécanique thermique, etc. Le type d'une quantité doit être un sous type de real [IGU07]. La norme permet d'associer une tolérance à chaque quantité. Contrairement aux signaux et aux variables qui ne changent de valeur qu'aux instants précis appelés événements, les quantités sont des fonctions continues du temps (ou de la fréquence). Par défaut les quantités sont initialisées à la valeur 0.0 à t = 0 alors que les variables et les signaux sont initialisés à la valeur la plus à gauche de leur type. Les quantités peuvent être :

Des quantités libres : Les quantités libres sont déclarées à l'intérieur d'une architecture.

Des quantités sources : Les quantités sources permettent de définir les signaux utilisés pour les analyses en fréquence AC et NOISE

Des quantités d'interface : Les quantités d'interface permettent de faire de la modélisation de type schéma-bloc (signal-flow). Les quantités d'interface sont déclarées dans la déclaration de port d'une entité. Les quantités sont de mode in ou out.

Des quantités de branche : Les quantités de branches sont associées aux terminaux par trois types :

1. Les quantités across représentent un effort : différence de potentiel électrique, différence de température, différence de pression ….

2. Les quantités through représentent un flux : courant électrique, puissance themique, débit volumique…

3. Les quantités de branches across et through sont associées à deux terminaux. Si le deuxième est oublié il s'agit du terminal de référence. Chaque déclaration d'une quantité through crée une nouvelle branche entre deux terminaux.

- Un terminal correspond à une équipotentielle d'un système physique conservatif décrit par un graphe. Le domaine physique auquel appartient le terminal est la nature du terminal la déclaration d'une nature définit le type des quantités across et through ainsi que la référence. La définition des natures est faite en général dans des packages rangés dans des bibliothèques.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 76

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

Découper le capteur en plusieurs blocks et saisir les équations pour chaque block en utilisant les variables que nous avons déclarés avec les opérations disponibles sur l’interface. Déclaration des librairies par le choix d’une fenêtre indépendante

de notre interface, et ajouter une nouvelle nature qui correspond à un Package dans le model VHDL-AMS, en cas de besoin.

Ces points sont très importants pour générer un bon code VHDL-AMS de notre capteur, on va revenir plus en détails sur ces points dans la partie suivante qui explique l’interface homme machine du générateur automatique de capteur.

2.3.1. Analyser le projet

La création des modèles se fait au travers d'un questionnaire guidant l'utilisateur dans les différentes étapes de la modélisation. Il a été choisit de faire un découpage des capteurs en transducteurs, et une fois toutes les informations saisies par l'utilisateur, un fichier VHDL-AMS est généré en remplissant un squelette type.

L’organigramme suivant illustre l’environnement de travail type de VHDL-AMS et les différentes phases d’édition, d’analyse, d’élaboration et d’exécution liées au langage [IGU07].

Figure 2.19 . Environnement de travail

L’interface graphique peut se réduire à un simple éditeur de texte. Les outils CAO du marché utilisent en plus leur éditeur de schémas pour générer

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 77

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

automatiquement le squelette d’un modèle VHDL-AMS, c’est-à-dire au moins la déclaration d’entité avec ses ports et un corps d’architecture minimum. Des outils plus avancés permettent de décrire le comportement du système à modéliser sous la forme de machines d’états, de chronogrammes ou de tables de vérité.

L’analyseur (ou compilateur) vérifie la syntaxe d’une description VHDL-AMS. Il permet la détection d’erreurs locales, qui ne concernent que de l’unité compilée. Plusieurs techniques d’analyse sont actuellement utilisées par les outils du marché. Chaque concepteur possède une bibliothèque de travail de nom logique WORK (le nom est standard) dans laquelle sont placés tous les modèles compilés. Le lien du nom logique avec l’emplacement physique de la bibliothèque dépend de l’outil de simulation ou de synthèse utilisé.

Le simulateur calcule comment le système modélisé se comporte lorsqu’on lui applique un ensemble de stimulus. L’environnement de test peut également être écrit en VHDL-AMS: il peut être lui-même vu comme un système définissant les stimulus et les opérations à appliquer aux signaux de sortie pour les visualiser (sous forme texte ou graphique).

La phase d’élaboration consiste en une construction des structures de données et permet la détection d’erreurs globales, qui concernent l’ensemble des unités de la description. Cette phase est normalement exécutée en arrière-plan avant la simulation proprement dite.

Au niveau de la génération du fichier par le logiciel, cela va apporter une meilleure lisibilité du code VHDL-AMS, même si celui-ci peut rester transparent à l'utilisateur. Il faut penser que le code généré peut-être utilisé par une personne qui ne possède pas le logiciel et qui cependant connaît le VHDL-AMS. Ainsi à la lecture du code elle va pouvoir reconstituer la chaîne qui forme le capteur et apporter ses propres modifications, car comme nous le verrons plus tard, le logiciel ne permet pas d'utiliser toutes les fonctionnalités que peut proposer le VHDL-AMS.

2.3.2.1. Structure de la base de données Un moteur de base de données intégré à l'application sera utilisé pour le stockage des modèles créé. A chaque fois qu’un modèle est créé, il est stocké dans une bibliothèque dans la base de données pour pouvoir être réutilisable par la suite. Pour cela, toutes les informations nécessaires pour la génération du fichier VHDL-AMS doivent être recueillies par le logiciel puis enregistrées dans la base de données.

La formalisation de cette base de données utilisée pour le stockage se présente sous une structure très simple comme il est illustré dans la figure 2.20 :

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 78

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

•Capteurs

•Blocks

•Grandeurs

•Entrée/sorite

•Équation

String DescriptiIntegerN. BlocksStringType

String Nom

String DescriptiString Nom

Valeur spécifié

String Descripti

Constant real,integer

Type

String Nom

Real Valeur

Real MaximuReal Minimu

StringUnité

Entrée, sortie, E/S

Type

String Description

Real, electrical,

Nature StringFluxString Nom

Instruction

PPoorrtt ((tteerrmmiinnaall)) QQuuaannttiittyy

GGeenneerriicc QQuuaannttiittyy

AArrcchhiitteeccttuurree

EEnnttiittyy

String Formule

String Descripti=,*,-Symbole String Variable

Code VHDL-AMS

Data base Emport/ex

Figure 2.20. La formalisation de la base de données de capteur Cette structure donne la relation entre les éléments essentiels de notre base

de données et les structure générales d’un modèle VHDL-AMS, et par rapport à cette relation on va générer le modèle de capteur.

De façon à intégrer le serveur de base de données à notre application dans le cadre des objectifs que nous avons fixés, on a profité de l’avantage du Visuel C++ 2005, pour intégrer le serveur de notre base de données fait en Access directement dans notre application.

Comme le schéma nous le montre, la base de données est composée de 6 tables pour stocker toutes les informations nécessaires à la génération d’un fichier VHDL-AMS.

2.3.1.2. Description des tables Comme les capteurs sont classés par type tel que : pression, humidité, température, etc. Une table sera consacrée pour définir les différents types de capteur possibles.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 79

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

Puis nous proposons la possibilité de pouvoir rajouter de nouvelles grandeurs physiques, alors deux autres tables sont dédiées à cet effet.

Table principale : Capteur

Id Nom de capteur Type N. de blocks Description

1 Accéléromètre Capacitif 2 Capteur d’accélération à peigne capacitif

Tableau 2.5 . Tableau de capteur

Table description : block Id Nom de capteur Nom de blocks description

1 Accéléromètre Masse sismique Comportement de la masse sismique

2 Accéléromètre la capacité formée par les peignes interdigités

Modélisation de la capacité formé par les peignes interdigités

Tableau 2.6. Tableau des blocks

Table description des E/S :

Id Nom

d’E/S Nom de blocks Flux Nature Type Description

1 V1

la capacité formée par les peignes interdigités

I_V1 electrical Terminal in

tension appliquée sur l'électrode fixe 1

2 V2

la capacité formée par les peignes interdigités

I_V2 electrical Terminal in

tension appliquée sur l'électrode fixe 2

3 gamma Masse sismique Flux_gamma

electrical Terminal in

accélération extérieure

4 x Masse sismique - real quantity déplacement de la masse sismique

5 C1

la capacité formée par les peignes interdigités

- real quantity valeur de la capacité C1

6 C2

la capacité formée par les peignes interdigités

- real quantity valeur de la capacité C2

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 80

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

Tableau 2 7. Tableau des Entrée/sortie

Table description des grandeurs: Id Num de

blocks Nom de grandeur

Type Identifiant Valeur Description

1 1(masse

sismique) A Generic Real 21.858e-9

constante liée à la géométrie du capteur

2 1 (masse sismique) e0 Generic Real 2.0e-6

entrefer à la position centrale en m

3 1 (masse sismique) Felec quantity Real 0.0

force électrostatique appliquée sur la masse sismique

4 1 (masse sismique) m generic Real 7.23e-9

masse de la masse sismique en kg

5 2 (capacité) S generic Real 3.06e-7

surface en regard entre l'électrode fixe et la masse sismique en m²

6 2 (capacité) k generic Real 17.66 raideur des bras de suspension en N/m

7 2 (capacité) mu generic Real 1.8e-5 viscosité en unité SI

8 2 (capacité) Q2 quantity Real - charge dans la capacité C2

9 2 (capacité) Q1 quantity real - charge dans la capacité C1

10 2 (capacité) epsi0 Constant Real 8.854187e-12

Permittivité du vide

Tableau 2.8. Tableau des grandeurs « Num de Block » représente le numéro du transducteur, ce numéro sert

uniquement de repérage lors de la saisie pour ne pas mélanger les transducteurs. Comme il est possible d’avoir plusieurs équations par transducteurs, il est

donc nécessaire de lui dédier une table également.

Table description des équations:

Id Num de blocks Formule Description

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 81

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

1 2 (capacité) C1 == phys_eps0 * S / ( e0 + x );

valeur de la capacité formée par l'électrode fixe 2 et la masse sismique

2 2 (capacité) C2 == phys_eps0 * S / ( e0 - x );

valeur de la capacité formée par l'électrode fixe 1 et la masse sismique

3 2 (capacité) Q1 == C1 * V1;

équation électrostatique de la capacité C1

4 2 (capacité) Q2 == C2 * V2;

équation électrostatique de la capacité C2

5 2 (capacité) I_v1 == Q1'dot; équation électrique de la capacité C1

6 2 (capacité) I_v2 == Q2'dot; équation électrique de la capacité C2

7 2 (capacité) Felec==0.5*phys_eps0*S*(V2*V2/((e0+x) *(e0+x))-V1*V1/((e0-x)*(e0-x)));

force électrostatique entre les armatures en regard

8 1 (masse sismique)

m*x'dot'dot+mu*A*A/2.0*2.0/(e0*e0*e0)

*x'dot+k*x+Felec==m*gamma

équation régissant le mouvement de la masse sismique

Tableau 2.9. Tableau des équations

Après avoir introduit ces résultats dans le logiciel voilà un bout du code généré :

---------- VHDLAMS MODEL Accéléromètre ---------- LIBRARY IEEE; USE ieee.electrical_systems.all; USE ieee.fundamental_constants.all; ---------- ENTITY DECLARATION Accéléromètre -----

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 82

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

ENTITY Accelerometer IS generic ( A : real := 21.858e-9; -- constante liée à la géométrie du capteur S : real := 3.06e-7; -- surface en regard entre l'électrode fixe et la masse sismique en m² e0 : real := 2.0e-6;-- entrefer à la position centrale en m k : real := 17.66;-- raideur des bras de suspension en N/m m : real := 7.23e-9; -- masse de la masse sismique en kg mu : real := 1.8e-5 ); -- viscosité en unité SI port ( terminal V1in : electrical; terminal V2in : electrical; terminal gammain : electrical ); END ENTITY Accelerometer; -------- ARCHITECTURE DECLARATION arch_Accelerometre -- ARCHITECTURE arch_Accelerometer OF Accelerometer IS quantity V1 across I_v1 through V1in to electrical_ref; -- tension appliqué sur l'électrode fixe 1 quantity V2 across I_v2 through V2in to electrical_ref; -- tension appliquée sur l'électrode fixe2 quantity gamma across fluxnul1 through gammain to electrical_ref; --accélération extérieur quantity C1 : real; -- valeur de la capacité C1 quantity C2 : real; -- valeur de la capacité C2 quantity Felec : real := 0.0; -- force électrostatique appliquée sur la masse sismique quantity Q1 : real; -- charge dans la capacité C1 quantity Q2 : real; -- charge dans la capacité C2 quantity x : real := 0.0;-- déplacement de la masse sismique BEGIN fluxnul1 == 0.0; -- comportement de la masse sismique m*x'dot'dot+mu*A*A/2.0*2.0/(e0*e0*e0)*x'dot+k*x+Felec==m*gamma; --équation régissent le mouvement de la masse sismique -- comportement de la capacité formé par les peignes interdigités I_v1 == Q1'dot; --équation électrique de la capacité C1 I_v2 == Q2'dot; --équation électrique de la capacité C2 Q1 == C1 * V1; --équation électrostatique de la capacité C1 Q2 == C2 * V2; --équation électrostatique de la capacité C2 C2 == phys_eps0 * S / ( e0 - x ); --valeur de la capacitté formée par l'électrode fixe 2 et la masse sismique C1 == phys_eps0 * S / ( e0 + x ); --valeur de la capacitté formée par l'électrode fixe 1 et la masse sismique Felec==0.5*phys_eps0*S*(V2*V2/((e0+x)*(e0+x))-V1*V1/((e0-x)*(e0-x))); --force électrostatique entre les armatures en regard

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 83

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

END ARCHITECTURE arch_Accelerometer; ---------- END VHDLAMS MODEL Accéléromètre ----------

Le code généré est tout de même moins lisible que tous les codes générés précédemment. Il devient difficile de travailler sur la modélisation de la capacité au sens géométrique et les imperfections électriques de celle ci. En effet, il faudrait pour cela qu'à chaque modification le fichier modifié soit envoyé pour éventuellement tenir compte de nouvelles variables introduites pour affiner le modèle.

Avec l'autre découpage, les entrées et sorties étant définies à l'avance, peut importe le niveau de modélisation le nom de la sortie reste la même.

2.3.2. Travail réalisé : présentation du générateur

Au premier lancement du logiciel la fenêtre principale (Figure 2.21) s'affiche, avec une liste de capteurs qui sont déjà modélisés. Le modèle de ces capteurs avec ses caractéristiques est affiché. Ce sont des fichiers avec l'extension '.vhd' et il suffit de les sélectionner et cliquer sur le bouton « suivant ».

Ensuite, plusieurs solutions Sont proposées : Modifier un capteur de la librairie avant d'en générer le modèle

VHDL-AMS. Pour cela, sélectionner le modèle de capteur, puis dans la liste passez le capteur à modifier et choisissez le bouton « Suivant ». Générer directement le modèle VHDL-AMS d'un capteur présent

en librairie. On commence par, sélectionner le capteur à partir de la librairie, puis cliquez sur « Suivant ». Créer un nouveau modèle de capteur. Il faut, sélectionnez « Autre »

de la liste de capteur puis cliquez sur « Suivant ».

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 84

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

Figure 2.21. Fenêtre principale

Pour l’équation il ne faut pas utiliser un variable qui n’est pas déclarée

comme une grandeur de notre capteur. Il faut utiliser le bouton dans l’onglet de grandeur à côté de la liste, et pour l’opération il y a un autre bouton dans l’onglet de l’équation qui intègre directement l’opération choisi dans la formule d’équation.

Déclaration des librairies par le choix d’une fenêtre indépendante de notre interface, mais ieee.math_real.all et ieee.fundamental_constants.all, elles sont indiquées comme librairies par défaut si l'utilisateur n’a rien déclaré.

Pour ajouter une nouvelle nature qui correspondant un Package dans le model VHDL-AMS, on utilise la même fenêtre de la déclaration des librairies dans la menu « Réglage Ajouter des librairies ou des nouvelle nature » par donner le nom, le flux, le potentiel de la nouvelle nature.

Déclaration automatique d'un fluxnul initialisé à zéro pour les entrées/sorties ne nécessitant pas de prendre en compte un flux. Dans l'assistant, on trouve 5 parties :

La 1èr pour le nom de capteur et sa description, le champ du « Nom de capteur » est obligatoire, pour activer les autres parties. La 2ème partie : des grandeurs : en général il y a 4 possibilités

utilisé dans notre interface sont : quantity, signal, constante,

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 85

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

géneric.Pour chacun il faut identifier le type de variable et la valeur, parmi eux il y a des champs sont facultatifs et d’autres peuvent être obligatoire dans certains cas. La 3ème partie : des entrée/sortie : il faut déterminer le Nom de la

potentiel, le Flux, la Nature, le Type (terminal, quantity, signal), et le Port (IN, OUT, IN/OUT).

Le petit bouton « - » dans les deux parties 2,3, est pour supprimer la valeur sélectionnée de la liste mais le bouton « supprimer » est pour vider les identifiants de la valeur sélectionnée de la liste sans éliminer le nom de cette valeur de cette liste.

3

5

2 4

1

Figure 2.22. Interface homme machine du générateur

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 86

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

L'assistant est prévu pour pouvoir créer des capteurs modélisés selon un découpage en blocs, chaque bloc pouvant représenter par exemple un transducteur, où un simple découpage arbitraire du capteur pour rendre plus clair le modèle de ce dernier. C’est fait par la 4ème partie. Sans fait ce découpage la dernière partie reste inactif.

Pour chaque bloc, il faut définir les équations qui permettront de calculer la sortie en fonction de l’entrée. Pour faciliter cette partie le bouton «+» insère directement l’opération sélectionne à sa place dans la formule de l’équation, en plus comme on a déjà vu il y a un autre bouton «+» pour insérer le nom de grandeur physique (la Figure 2.23).

Figure 2.23 . Formulaire de l'équation

Cette partie demande d’avoir un peu de connaissance sur le langage

VHDL-AMS pour générer un vrai code. La saisie fonctionne sur le même principe dans les cinq zones disponibles :

Capteur, Grandeurs, Entrées/sorties, Blocs et Equations. Après avoir rempli les champs nécessaires, il suffit de valider la saisie en cliquant sur le bouton « Suivant », et après de définir le nom de fichier portant l’extension « .vhd » le code VHDL-AMS de ce modèle est généré.

Si la saisie est incorrecte, le logiciel vous préviendra de ce qui ne va pas. Si par contre vous vous apercevez que les informations que vous avez saisies sont erronées, vous pouvez toujours les supprimer ou les modifier. Pour cela, sélectionnez la ligne du tableau correspondant à l'information incriminée et choisissez Modifier ou Supprimer.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 87

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

ATTENTION, la suppression est irréversible. Si vous avez choisi de modifier des informations, celles déjà entrées vont être affichées dans les champs de saisies correspondant et il vous suffira de valider alors vos changements. Vous devez faire attention pour la saisie des valeurs numériques et des équations. Celles-ci doivent se faire selon le standard du VHDL-AMS.

Figure 2.24. Fenêtre permettant de sauvegarder les fichiers

Pour les entrées/sorties de votre capteur, si les natures disponibles ne correspondent pas à vos attentes, vous pouvez toujours créer votre propre nature personnalisée. Pour cela, choisissez « Ajouter une nouvelle nature » dans le menu « Réglage » et saisissez les informations nécessaires dans la fenêtre qui s'affiche la Figure 2.25.

Après validation, la nouvelle nature créée est directement disponible dans l'assistant.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 88

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

Figure 2.25 . Ajouter un nouveau composant

Enfin, si vous faites « Suivant » votre nouveau modèle est enregistré en

librairie et l'assistant vous propose d'enregistrer le fichier VHDL-AMS correspondant à votre capteur nouvellement créé ou modifié, (Figure 2.24).

Si vous choisissez d'annuler, toute saisie ou modification d'un capteur ne sera pas prise en compte et vous reviendrez à la fenêtre principale.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 89

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

2.4. Conclusion Actuellement nous disposons d’un générateur de modèles de capteurs

VHDL-AMS. L’interface logicielle a été conçue de manière à respecter les besoins. Le générateur permet, à partir de la caractérisation et de la modélisation du capteur, d’obtenir son modèle en VHDL-AMS. En raison de la diversité des simulateurs VHDL-AMS dont les bibliothèques diffèrent de l’un à l’autre, dans chaque fichier généré on trouve toutes les bibliothèques nécessaires à la compilation. L’utilisation de cette interface est possible pour quiconque, elle se restreint à la saisie des informations caractérisant le capteur. La transparence est faite par l’intermédiaire du logiciel qui génère à partir des données rentrées par l’utilisateur, le fichier VHDL-AMS complet. Actuellement les simulateurs acceptant le standard VHDL-AMS sont disponibles sous différentes plateformes et sur plusieurs types de machines. De la même manière, aucune installation logicielle en local n’est requise pour pouvoir utiliser le générateur automatique de modèle. Ceci facilite son accessibilité.

Avec ce logiciel, il est assez aisé de réaliser des modèles en VHDL-AMS de capteur mais cela uniquement pour des capteurs dits « simples » qui sont représentés par des fonctions simples du type : polynômes, fonctions trigo (sinus, tangente, exponentielle, etc. Les capteurs possédant des hystérésis ou des seuils sont dans ce cas impossible à modéliser par l’intermédiaire de ce logiciel.

Par la suite, il est possible de rajouter une structure de contrôle des données entrées par l’utilisateur puisque pour l’instant on considère que l’utilisateur rentre correctement les informations demandées, des outils d’aide sont mis à la disposition de l’utilisateur pour l’aider à saisir correctement les informations nécessaires. Pour certifier qu’un modèle marchera totalement il faudra mettre en place ces structures.

Le modèle généré n’est pas simulable seul, il faut l’insérer sur un banc de test (testbench) qui n’est pas généré par le logiciel. Cette fonction pourra être rajoutée afin de pouvoir vérifier l’exactitude du modèle pour les utilisateurs non expérimentés en VHDL-AMS. Ou encore, le logiciel générera également un script pour un logiciel de simulation donné pour faciliter la tâche de l’utilisateur.

Le logiciel traite seulement le cas de la modélisation du capteur, l’extension possible pourrait être la mise en place de l’électronique de traitement pour générer un code VHDL-AMS pour un composant électronique, ce qui demande de suivi la méthodologie de la modélisation, en découpant le composant en plusieurs blocs et en l’organisant de façon à pouvoir l’introduire dans le logiciel sans difficulté. Dans ce cas le nom du composant sera le nom d’entité, et les équations des blocs constitueront le corps de l’architecture dans le code VHDL-AMS correspondant.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 90

Chapitre 2 : Modélisation de capteur en VHDL-AMS avec le générateur automatique

Dans ce projet, seul le prototypage virtuel a été mis en avant car actuellement le VHDL-AMS n’est qu’à ses débuts. Par la suite il pourra peut-être mene jusqu’au layout tout comme le fait le VHDL pour l’électronique numérique.

Dans l'état actuel, le logiciel est livré avec une biliothèque contenant les modèles de deux capteurs l’accéléromètre en peigne capacitif seul sans interfaçage et le capteur d’humidité avec un convertisseur analogique numérique Sigma Delta qui sera développé au quatrième chapitre.

Les possibilités de modélisation de haut-niveau que permet le VHDL-AMS ne sont pas prises en compte par le logiciel. Cet aspect est tout de même très intéressant, puisque grâce à cela nous avons pu modéliser l'électronique de fonctionnement de capteur sans rentrer dans les détails précis comme le requiert d'autres logiciels de simulation.

Nous avons pu constater que le VHDL-AMS ne permet pas de résoudre l'équation de diffusion ou toutes autres équations différentielles différentes du temps. Pour palier à ce problème, il est possible d’utiliser la méthode des éléments finis. Cela permettrait de simuler des modèles plus fins dans les microsystèmes.

Comme le VHDL-AMS permet de dériver par rapport au temps, il serait possible de passer de la résolution de l'équation de diffusion à celle de la propagation des ondes. Dans le cas des microsystèmes cela est très intéressant si on veut modéliser des vibrations ou autre. Nous n'avons fait aucune étude en ce sens et donc le degré de faisabilité est inconnu. Mais cette possibilité serait un grand pas en avant pour la plateforme.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 91

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

Chapitre 3 : Automatisation de la conception analogique Sommaire

3.1. Introduction....................................................................................... 93 3.2. Conception des circuits analogiques et mixtes ................................... 95

3.2.1. Challenge de la méthodologie et des outils de la conception analogique et numérique........................................................................... 98

3.3. Nécessité de l'électronique et d’outils CAO analogique ................... 101 3.3.1. Méthodologie de la conception analogique .............................. 102 3.3.2. Flot de conception analogique manuel ..................................... 105 3.3.2.1. Synthèse de fonction analogique............................................... 109 3.3.3. Automatisation de la conception analogique ............................ 115

3.4. Interface graphique de la conception électronique ........................... 121 3.4.1.Co- simulation Matlab/Cadence et évaluation des performances .... 123 3.4.2. Conception niveau Composant...................................................... 127

3.5. Conclusion ...................................................................................... 134

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 92

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

3. Automatisation de la conception analogique

3.1. Introduction La conjonction de l’évolution des technologies de fabrication des circuits intégrés et de la nature du marché des systèmes électroniques fait que l’on est amené à concevoir des circuits de plus en plus complexes (plusieurs millions de transistors) en un temps de plus en plus court (quelques mois). Ce phénomène a entraîné une métamorphose du processus de conception allant de l’idée au produit.

Durant les dernières décennies, on est passé de la conception de circuits composés de quelques milliers de portes à des systèmes structurés et intégrés comme un réseau sur une même puce. Les puces modernes peuvent contenir plusieurs processeurs, de la mémoire et un réseau de communication complexe. Le principe de la conception reste le même ; il s’agit de générer une réalisation physique sous forme d’une puce en partant d’une spécification système. En revanche, les outils mis en œuvre et l’organisation du travail durant le processus de conception ont beaucoup évolué. Partant d’une conception complètement manuelle où l’on dessinait les masques du circuit à réaliser sur du papier spécial, on est passé à une conception quasi automatique en partant d’une description du comportement du circuit sous forme d’un programme décrit dans un langage de haut niveau.

Les prévisions stratégiques d’ITRS (International Technology Roadmap for Semiconductors) annonçaient que 70 % des ASIC comporteront au moins un CPU embarqué à partir de l’année 2005. Ainsi, la plupart des ASIC seront des systèmes monopuces (SoC pour Système On Chip). Cette tendance semble non seulement se confirmer mais se renforcer. Les systèmes monopuces contiendront des réseaux formés de plusieurs processeurs dans le cas d’applications telles que les terminaux mobiles, les Set top box, les processeurs de jeux et les processeurs de réseau. De plus, un rapport de la société IBS Corp. réalisé en 2003 indique (à l’époque) que : "75% des systèmes posséderont en année 2006 une partie analogique ou mixte". Et alors que les éléments analogiques ne représentent que 2% de l’ensemble du système, et en moyenne 20% de la surface, ils nécessitent 40% de l’effort de conception et surtout sont à l’origine de 50% des erreurs imposant une nouvelle conception. Il est donc devenu indispensable d’améliorer les méthodes de conception et de validation employées. En outre, la démocratisation des produits électroniques (téléphone, PC, caméscope,. . .) a conduit à exercer une très forte pression sur les concepteurs, en imposant des délais de mise sur le

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 93

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

marché de plus en plus courts. A ceci s’ajoute l’augmentation du niveau d’intégration, et donc de complexité, permis par les nouveaux procédés technologiques.

Les progrès des dernières années dans le domaine de la microélectronique s’expliquent non seulement par le perfectionnement des procédés de fabrication des circuits intégrés, mais aussi par l’intervention de l’informatique à travers la Conception Assistée par Ordinateur afin d’automatiser certains phases de la conception électronique.

Les outils de CAO analogique doivent évoluer pour rattraper leur retard sur la conception numérique qui est de nos jours largement automatisée. Cette automatisation est devenue possible grâce au développement des langages de description matérielle standard, tels que le VHDL associé aux outils de synthèse numérique. L’extension de ces standards pour les circuits analogiques et mixtes (norme VHDL-AMS) ouvre la voie à l’amélioration des outils de conception analogique et mixte et au développement des outils de synthèses analogiques.

Dans la plupart des systèmes sur puce, la surface est occupée en grande majorité par les blocs de fonctions logiques et en particulier ceux dédiés à la mémoire. Le reste de l’espace est occupé par des fonctions analogiques réalisées par des circuits mixtes ou par des circuits analogiques purs. Ces fonctions analogiques sont utilisées à la périphérie des systèmes généralement pour servir d’interface avec des signaux issus du monde physique (modem, LAN, module RF, CAN, . . .)

Alors, comment dans ce contexte accélérer la conception, augmenter la fiabilité et réduire l’effort de conception ?

Un début de réponse est donné avec l’élévation du niveau d’abstraction. En effet, par analogie avec les systèmes numériques, le recours aux composants virtuels a permis de faire face à la complexité croissante des systèmes et de tendre vers la réutilisation de blocs. De plus, la réduction des dimensions dues à l’évolution technologique a fait naître de nouveaux phénomènes physiques (intégrité du signal, électro-migration, analyse de puissance, etc.) imposant une analyse précise au niveau composant et donc opposée à une élévation du niveau d’abstraction. Le niveau moyen d’abstraction dans la conception des systèmes tend tout de même à augmenter, comme nous avons pu le voir avec l’émergence des composants virtuels, et nécessite le développement simultané des méthodologies et des outils associés pour garantir la satisfaction des contraintes à tous les niveaux d’abstraction du système (c'est-à-dire tous les niveaux hiérarchiques d’un système complexe). La mise en œuvre d’une solution efficace pour la conception de SOC AMS répondant à la fois aux contraintes technologiques et économiques ne peut être envisagée qu’à travers la triple dimension de la méthodologie, du flot associé ainsi que d’un ensemble d’outils appropriés. C’est la raison pour laquelle il existe un grand nombre de fournisseurs d’outils de conception, parmi lesquels on retrouve

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 94

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

bien sûr Cadence, Synopsis, Mentor Graphics, Ansoft, Dolphin. Ils proposent des flots de conception associés à leurs outils de développement. Pour des raisons évidentes nous ne ferons pas ici la description exhaustive des méthodologies proposées par chaque fournisseur mais tâcherons plutôt de dégager les constantes que l’on retrouve dans les flots proposés pour la synthèse d’architectures intégrées.

La part importante de ce travail se situe donc dans la définition et la mise au point de méthodes et d’outils de conception automatique d’une partie analogique d’un microsystème. C’est un objectif d’une grande actualité dans le sens où les méthodes et les outils de la conception électronique ne sont pas suffisants pour traiter la pluridisciplinarité et donc les microsystèmes.

3.2. Conception des circuits analogiques et mixtes La conception des circuits intégrés mixtes, c’est-à-dire combinant des fonctions analogiques et des fonctions numériques sur la même puce microélectronique, s’est généralisée dans tous les domaines qui nécessitent l’acquisition, l’utilisation ou la génération de signaux physiques (donc analogiques), notamment les télécommunications, les applications multimédia, l’instrumentation en particulier médicale, l’imagerie ou encore la détection radar. De tels circuits permettent en effet de bénéficier de la robustesse et de la modularité du traitement numérique pour manipuler avec maîtrise et puissance les signaux analogiques du monde réel. Toutefois, l’augmentation de la complexité des systèmes induite par la coexistence de ces deux domaines (analogique/numérique) se répercute sur la difficulté de la conception des composants.[BER07].

Les méthodologies de conception des circuits mixtes ont finalement peu évolué durant ces vingt dernières années. Comparativement à la conception des circuits numériques, cette conception des circuits mixtes est lente, nécessite un effort de travail intense et est sujette à de nombreuses erreurs. Alors que du côté numérique la conception est entièrement automatisée et incluse dans un flot reconnu et adopté par tous les concepteurs, la synthèse de circuits analogiques et mixtes en est encore bien loin. Deux raisons président à cela.

Il y a d’abord un facteur historique, car les concepteurs de circuits numériques ont été confrontés beaucoup plus tôt au besoin de circuits complexes et de grande taille. Un circuit numérique de grande taille peut aujourd’hui contenir des dizaines de millions de transistors, alors qu’un circuit analogique complexe ne dépassera pas la dizaine de milliers de composants. Ensuite, les problèmes de la conception numérique sont plus simples à automatiser.

Les méthodes de conception analogique et mixte doivent désormais évoluer pour intégrer les nouveaux besoins de la conception électronique. Les

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 95

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

objectifs sont la résolution du problème de temps de simulation, de plus en plus important, la détection rapide des erreurs de fonctionnement avant même d’établir une description niveau transistor et finalement l’accélération du cycle de conception des systèmes en réutilisant des blocs déjà conçus.[KSE02]

Pour aborder la conception d’un système complexe, mieux vaut alors procéder selon une méthode descendante ou Top-Down partant d’une description fonctionnelle du système et décomposant progressivement son architecture jusqu’au niveau transistor. La Figure 3.26 détaille les différentes étapes suivies dans une approche Top-Down : après une spécification du système à concevoir, on vérifie sa fonctionnalité avec une description fonctionnelle, donc avec un haut niveau d’abstraction. On peut imaginer après plusieurs niveaux de description fonctionnelle qui vont permettre de vérifier les différentes fonctions des sous-blocs construisant le système global. On passe ensuite à la synthèse pour obtenir une description schématique au niveau élémentaire (portes logiques ou niveau transistor). A partir de cette description, des outils de routage automatiques permettent de générer le layout.

Cette approche permet de vérifier le bon fonctionnement du système avant de passer à une description niveau transistor et de détecter précocement des erreurs de conception. Elle permet également de reporter le choix de la technologie le plus tard possible dans le cycle de conception.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 96

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

Figure 3.26. Méthodologie de conception hiérarchique Top-Down et Bottom-Up

Ainsi, une modification de la technologie ne remet pas en cause les premières étapes de la conception. Avec l’apparition des langages de description matérielle, la méthode ascendante ou Bottom-Up ne se limite plus au premier niveau élémentaire. Des modèles comportementaux des blocs constituant le système peuvent être extraits de leur description schématique. On pourra encore remonter dans les niveaux d’abstraction pour passer de la vérification fonctionnelle des blocs à celle de tout le système, comme illustré par la Figure 3.26. En réalité, les concepteurs utilisent un mélange des deux approches Top-Down et Bottom-Up. En effet, le flot de conception Top-Down est loin d’être parfait et le passage d’une étape à la suivant n’est pas toujours automatique. Nous pouvons aussi imaginer une conception Top-Down qui utilise des modèles de base issus de l’approche Bottom-Up.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 97

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

Dans les flux de conception Top-Down ou Bottom-Up, on peut mélanger dans une même description plusieurs niveaux d’abstraction. Ainsi, on peut simuler un système avec des blocs décrits au niveau fonctionnel et d’autres décrit au niveau transistor. C’est la technique de multi abstraction [KSE02].

Pour accélérer le cycle de conception, il est par ailleurs souhaitable de ré-utiliser (Re-Use) des blocs déjà conçus, éventuellement par un autre concepteur ou un autre organisme, ce qui remet en avant le délicat problème de la propriété intellectuelle (IP) de ces blocs [KSE02]. La modélisation comportementale prend dans ce cas tout son sens car le modèle peut devenir la «carte d’identité » d’un circuit sans que l’on connaisse son architecture. Une telle approche s’intègre bien dans les flux de conception hiérarchique Top-Down et Bottom-Up.

Ce nouveau flux de conception a été appliqué pour concevoir les circuits numériques. Cette automatisation est devenue possible grâce à l’apparition de la norme VHDL et des outils de synthèse automatique qui permettent de générer les schémas portes logiques à partir de la description de leurs fonctions. L’intégration de la méthode hiérarchique Top-Down dans le flux de conception des circuits analogiques constitue certainement un pas vers la synthèse analogique.

Un des freins principaux à l’évolution des outils de synthèse analogique est l’absence de bibliothèques de modèles standard.

En résumé, la conception (ou le design) de circuits intégrés (ou puces électroniques) consiste à réaliser les nombreuses étapes de développement (flot de conception ou design flow) nécessaires pour concevoir correctement et sans erreurs une puce électronique.

Le point d'entrée est une spécification fonctionnelle qui décrit le fonctionnement désiré de la puce. Le point de sortie est la représentation sous forme d'un fichier informatique des dessins des masques (layout) de la puce. Ce fichier permet la fabrication des masques. Ces masques serviront lors de la fabrication de la puce dans les unités de fabrication de semi-conducteurs pendant les étapes de photolithographie.

3.2.1. Challenge de la méthodologie et des outils de la conception analogique et numérique

Aujourd’hui, le travail sur la méthodologie de conception analogique se focalise principalement sur deux problèmes, l’un relatif à sa rapidité de réalisation, l’autre à son exactitude. Pour concevoir rapidement ces circuits difficiles, et donc réduire leur cycle de réalisation, les enjeux se situent au niveau de la réutilisation de la propriété intellectuelle analogique (IP analogique), et au niveau de l’automatisation de la conception (synthèse). La conception exacte concerne l’augmentation de la probabilité que la conception fonctionne sur le premier silicium. En effet, par

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 98

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

habitude, beaucoup de projets de conception analogique planifient plusieurs fabrications sur silicium, pour s’assurer que les problèmes non prévus pendant la conception puissent actuellement être découverts, diagnostiqués et résolus en utilisant des prototypes de silicium. De ce fait, un important secteur captive récemment l’attention c’est le besoin d’améliorer la conception des circuits analogiques et mixtes en adoptant une approche descendante.

Dans le domaine numérique, les concepteurs décrivent une fonction à partir de portes logiques à l’aide d’un langage de description matériel. Certains blocs peuvent avoir été utilisés dans des circuits précédents (IP Re-use) ou achetés à des sociétés tierces. Puis vient l’étape de simulation, pour vérifier le fonctionnement. Les concepteurs recourent ensuite à d’autres outils EDA (Electronic Design Automation) pour synthétiser la conception et convertir le langage descriptif en blocs de construction pour les portes logiques et les transistors. Ensuite, les concepteurs les plus expérimentés procèdent aux ajustements nécessaires. Ils vérifient les spécifications dont dépend la performance de la partie numérique. En revanche, dans le domaine analogique, le processus de conception reste long et complexe à cause de l’inexistence d’outils de synthèse dans ce domaine.

Dans le cas de la conception des circuits analogiques, il n’existe pas d’équivalent des machines d’état et par conséquent aucune formulation standard ou bases mathématiques communes. Il n’existe pas non plus de transformation universelle qui autorise la modification d’une architecture sans risquer de la détruire (loi de Morgan) [LAB08] [FEL08] Ce type de problème interdit de fait l’association d’une topologie à une description comportementale. Définir des modèles communs d’entrée-sortie pour des blocs de base analogiques est également difficilement concevable. On pourrait à titre d’exemple fixer des impédances d’entrée et de sortie nulles, spécifier une valeur moyenne de signal aux entrées et sorties, imposer une dynamique commune. Dans cet esprit, quelques recherches ont été effectuées pour produire des cellules analogiques (principe des FPAA composés de CAB) à base d’amplificateurs et ou de convoyeurs de courant. Ces études parmi lesquelles on peut citer [AUB01] [COU06] montrent que les résultats n’ont pas eu une portée industrielle remarquable et ont été progressivement abandonnée. Enfin, il n’existe pas de moyen simple de définir les compromis entre les différentes performances des blocs, pourtant ״cruciaux״. Il est donc extrêmement complexe de réaliser une optimisation paramétrique. On utilise généralement les analyses de sensibilité mais le bénéfice apporté est faible. On leur préfère alors les analyses Monte-Carlo qui donnent de meilleurs résultats mais nécessitent une puissance de calcul très élevée. La conception analogique ne dispose donc pas d’équivalent au code RTL (Register Transfer Level), permettant à partir d’une description comportementale de synthétiser un circuit aux performances optimales et avec des garanties de fonctionnement certaines. Dans la mesure où le passage du concept à

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 99

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

l’implémentation des systèmes analogiques est manuel, la procédure est naturellement plus longue et semée d’embûches.

Dans la majorité des cas, les systèmes numériques sont implémentés sous forme de machines d’états et réalisés ensuite sur la base de bibliothèques de cellules pré-caractérisées (standard Cell). Le recours à la formulation des machines d’état (FSM) permet d’unifier et d’homogénéiser la conception numérique et fournit une base mathématique bien connue (algèbre de Boole). Ces fondements mathématiques ont été largement explorés durant les années quatre vingt pour donner naissance aux outils de synthèse des années quatre vingt dix basés sur le code RTL. Les concepteurs ont ainsi pu décrire leurs systèmes avec un niveau d’abstraction relativement élevé et le simuler au niveau logique pour fournir des circuits optimisés au niveau transistor. Cette transformation est possible car les systèmes numériques sont composés de blocs de base (transistors, bascules) en nombre limité et au comportement simple et maîtrisé. Chaque bloc dispose ainsi d’un modèle d’entrée-sortie standard permettant les interconnexions à grande échelle. Les compromis sur les systèmes sont simples et relèvent généralement du triptyque surface, vitesse, consommation. La description des performances au niveau système se propage aisément aux différents niveaux hiérarchiques, et un système de rétro-annotation est également facilement réalisable. Les outils de synthèse des circuits logiques fonctionnent en deux temps. D’abord des transformations sont effectuées à partir du modèle mathématique pour permettre la réduction du nombre de portes qui a pour effet, bien sûr, de réduire la surface et la consommation et d’augmenter la vitesse. Ensuite le compromis vitesse/consommation est recherché au niveau porte.

En résumé, les perspectives pour atteindre le niveau de la conception numérique dans les circuits analogiques sont assez lointaines. Alors qu’un circuit logique complexe peut être synthétisé du premier coup en quelques mois, la conception d’un circuit analogique complexe nécessite trois à quatre essais et peut prendre jusqu’à une année pour atteindre les performances souhaitées. La situation ne peut perdurer ainsi pour plusieurs raisons :

L’énorme décalage dans la synthèse des parties analogiques et numériques des architectures mixtes rend la justification de combiner les deux sur une même puce difficile, et pourrait conduire à l’usage exclusif de structures numériques. Les architectures analogiques et mixtes nécessitent de gros

investissements en temps et en argent, ce qui n’encourage pas le développement de nouvelles architectures. Certaines applications mixtes deviennent si importantes et

complexes qu’il est de plus en plus difficile de trouver les compétences pour les réaliser.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 100

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

Pour permettre le changement dans la conception des circuits mixtes, la conception analogique doit évoluer à deux niveaux. D’une part par la définition d’une méthodologie plus structurée, et d’autre part par l’automatisation significative des différentes étapes de la conception. Bien que le recours aux composants virtuels constitue un pas vers des architectures analogiques plus simples à réaliser, il doit être associé à une méthodologie de conception robuste, fiable, et automatisée.

3.3. Nécessité de l'électronique et d’outils CAO analogiques Dans la plupart des systèmes électroniques, le traitement du signal est réalisé numériquement par un processeur numérique (D.S.P.), principalement pour des raisons de précision et d'immunité au bruit, la nature analogique et à temps continu des signaux physiques à traiter, rend impossible l'élimination complète de la circuiterie analogique. Des circuits d'interfaçage sont donc requis. Ainsi, dans un environnement physiquement hétérogène, le signal à traiter doit tout d'abord être prélevé par un capteur qui transformera l'information acoustique (microphone), lumineuse (cellule photo-électrique), de vitesse (accéléromètre), électromagnétique (antenne), en un signal électrique. Ensuite, ce dernier sera converti par un convertisseur analogique/numérique (C.A.N.), le signal électrique doit être traité par un processeur analogique de signal (A.S.P.) qui le conformera, c'est à dire l'adaptera aux caractéristiques du convertisseur en lui faisant subir un certain nombre d'opérations analogiques à temps continu ou temps discret: transduction, filtrage, échantillonnage-blocage, extrapolation. En termes de définitions, l’ensemble de ces opérations élémentaires, augmenté d'un éventuel prétraitement numérique (extrapolation, filtrage, contrôle de gain, ...) constitue la chaîne d'acquisition du signal.

Figure 3.27. Chaîne générique pour le traitement du signal

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 101

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

Par ailleurs, le signal traité numériquement doit pouvoir être restitué sous une forme analogique temps continu à l'environnement physique immédiat. On notera que lorsque l'intégration des fonctions analogiques et numériques est réalisée sur un même substrat, le système électronique intégré mixte (analogique et numérique) "mono-puce" correspondant constitue un A.S.I.C (Application-Specific Integrated Circuits) de type particulier appelé S.o.C. (System on Chip).

Ce qui caractérise essentiellement les évolutions récentes en matière de conception de circuits intégrés est principalement une nette augmentation de la complexité des circuits, due à la réduction des dimensions des transistors réalisables en technologie CMOS, une rapide évolution des processus technologiques, une forte demande de nouvelles fonctionnalités intégrant de nombreuses fonctions analogiques (radiocommunication, ...) et surtout la pression économique qui raccourcit de plus en plus les délais de mise sur le marché des circuits. Or, contrairement au domaine numérique où l'utilisation de librairies de cellules standards réduit considérablement le temps de conception, dans le domaine analogique, il est pratiquement impossible de stocker dans une même librairie l'ensemble des fonctions susceptibles de couvrir tout le spectre possible d'applications avec l'ensemble des spécifications électriques associées. Compte tenu du fait qu'une telle librairie serait très rapidement obsolète de part l'évolution de plus en plus rapide des technologies, il est évident, que sans l'aide d'outils de CAO appropriés, permettant la génération automatique de modules paramétrés et dépendants de la technologie, l'analogique risque de devenir dans la phase de dimensionnement des composants physiques des S.o.C., un sérieux goulot d'étranglement en terme de temps de conception et de coût.

L'électronique analogique est une science appliquée à la confluence de plusieurs disciplines scientifiques: la physique des composants, la théorie des réseaux électriques, les mathématiques, etc. C'est ce qui fait son intérêt, mais aussi sa difficulté.

3.3.1. Méthodologie de la conception analogique

Le modèle de cycle de développement dépend des informations à traiter, des connaissances, de la culture de l’entreprise, et des objectifs visés. En effet, certains cycles souhaitent favoriser l’innovation, d’autres favorisent la capitalisation et la réutilisation d’expériences. La représentation du cycle de développement la plus couramment utilisée en entreprise est celle du cycle en V. Il est représenté dans la Figure 3.28. C’est un modèle couramment appliqué dans l’industrie classique. Il permet l’organisation générale du travail, la décomposition et la distribution de tâches. Cette structure en « V » met en regard des étapes de spécification/validation, conception/intégration et conception/tests autour du point

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 102

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

rebond qui est le prototype virtuel. Cette structure offre une voie pour vérifier la conformité et valider des étapes clés dans le développement d’un produit. D’une manière générale, ce cycle convient au développement de systèmes complexes dans lesquels interagissent un grand nombre de collaborateurs. En effet, chaque collaborateur, peut réutiliser cette même structure d’analyse en « V » pour décomposer le développement de son propre sous-système en étapes du même type.

Cependant, un inconvénient peut apparaître à l’usage de ce cycle dans le cas d’un besoin de changement de spécification en cours de projet. Les modifications à envisager ne peuvent pas être facilement explicitées, ce qui mène souvent à redémarrer le cycle de développement depuis le début.

Dans le cadre de notre étude, nous serons donc attentifs à, d’une part, apporter les outils pour faciliter les échanges entre les différents partenaires d’un projet, et d’autre part, rendre la conception plus réactive aux changements de spécification.

Conformité à la description de spécification

Conformité à la description de sous-blocs

Conformité à la description de composant

Spécification niveau système

Conception sous-blocks

Conception composant

Intégration

Tests unitaires

Prototype virtuel

Validation

Plan de validation

Plan d’intégration

Plan de teste

Figure 3.28. Cycle en « V » applicable aux différents niveaux de décomposition du système

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 103

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

La conception se divise en trois phases principales : Les spécifications : Cette phase consiste à regrouper toutes les

données concernant la description du système. Elles doivent apporter les informations relatives au fonctionnement, aux performances attendues, aux contraintes ou à toute autre forme d’information ou de recommandation non fonctionnelle telle que le coût, le poids, le volume, le délai de livraison, etc. Le modèle architectural du système global : Cette étape vise à

établir une première base sur laquelle sera fondé le système. Le modèle est défini selon des fonctions décomposées en autant de sous-fonctions nécessaires pour obtenir des fonctions élémentaires exploitables. Le choix des composants et des technologies pour le

prototypage virtuel : Cette phase constitue une étape de vérification qui consiste à faire une représentation informatique du système à réaliser. Ce prototype sera le moyen de tester, d’optimiser et de valider le système sans recourir à plusieurs prototypes réels.

La conception descendante pourrait viser un découpage du système en plusieurs sous-modules dès l’initiation du projet où chaque module concernerait un domaine spécifique. En résumé, nous voulons considérer la conception comme une procédure permettant d’aller des spécifications du système à un partitionnement des tâches selon des métiers bien répertoriés, sachant que ces métiers peuvent être différents des découpages habituels en discipline. Ils vont comporter le plus souvent des fonctions pluridisciplinaires : on appellera par exemple métier = la mesure, qui va associer des fonctions, par exemple mécanique et électronique (électronique embarquée). Cette part de conception amont, associée au partitionnement métier, est illustrée dans la Figure 3.28 sur la base d’un cycle de développement en V.

Le côté droit du cycle en V contient la phase de la validation. Donc, après la conception, la vérification consiste à valider le bon respect des règles de dessin, la conformité aux schémas d’origine ainsi que le respect des performances attendues. La vérification du système au niveau transistor à partir d’une vue intégrant tous les effets parasites étant difficile, on se trouve alors dans l’obligation de combiner l’approche descendante et l’approche ascendante. Une fois le layout du système complet terminé, il est de toutes les manières indispensable de réaliser un ensemble de simulations (monte carlo, corners,. . .), afin de garantir le fonctionnement du produit final. La démarche à suivre est la suivante :

Un plan de vérification formel développé et suivi tout au long de la conception. Il garantit une vérification à toutes les étapes de la conception.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 104

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

La possibilité de co-simuler des blocs avec différents niveaux d’abstraction afin de permettre la vérification à mesure que l’on descend dans les niveaux de représentation. La définition, la propagation et la vérification des contraintes à

tous les niveaux d’abstraction. Une communication simple et efficace des contraintes, des

parasites et des modèles entre les différents systèmes et circuits. L’extraction de modèles qui représentent fidèlement le

comportement et les performances implémentés pour chaque bloc. L’approche de conception descendante a montré ses limites. Elle ne peut à

elle seule permettre la synthèse des architectures complexes de demain. En revanche, la méthodologie "Top-Down" contribue grandement à améliorer l’efficacité de la conception, mais elle fait encore l’objet de travaux de recherche. Ils devraient permettre d’améliorer encore les performances des concepteurs, par le recours à la vérification mixte [MIR02a].

3.3.2. Flot de conception analogique manuel

La Figure 3.29. Le flot de la conception analogique manuelle correspond au flot de la conception manuelle utilisé par notre projet pour réaliser une architecture analogique au niveau électrique. Elle permet tout d’abord d’illustrer le travail itératif et laborieux que cela représente mais, elle décline aussi les trois phases élémentaires du flot descendant. Ce flot met en outre l’accent sur le caractère itératif et les remises en cause nécessaires pour l’obtention d’un circuit viable. Cette vue peut être complétée par les flots de conception que l’on trouve chez tous les fournisseurs de plateformes de conception électronique, mais elle permet de rendre efficacement compte de la nature des tâches essentielles à automatiser pour arriver à fournir des IP analogiques au plus haut niveau d’abstraction.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 105

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

Spécifications (au niveau système)

Sélection de topologie

Simulation (au niveau comportemental (MATLAB))

Dimensionnement

Extraction et simulation (au niveau électrique (CADENCE))

Génération de layout

Choix des paramètres de conception et de la technologie

Performances

Performances

Evaluation Echange des données

Figure 3.29. Le flot de la conception analogique manuelle

Le point de départ de la conception est la spécification des paramètres de conception et de performances au niveau système ou au niveau composant selon le type de simulation. Cette phase consiste à regrouper toutes les données concernant la description du système. Elles doivent apporter les informations relatives au fonctionnement, aux performances attendues, aux contraintes.

La réalisation des spécifications électriques fixées par le cahier des charges au niveau système implique une traduction de ces spécifications en termes d'indices de performances au niveau de chaque bloc fonctionnel, qui doivent être traduites en termes d'indices de performances au niveau de chaque fonction élémentaire, puis des dispositifs physiques qui permettent la réalisation de ces fonctions et enfin au niveau de chaque composant de chaque dispositif. Leur vérification demande de nombreuses simulations spécifiques à partir de modèles allant du fonctionnel au physique et pouvant passer par différents niveaux comportementaux.

Pour une même fonctionnalité il existe très souvent plusieurs topologies de circuit susceptibles de répondre de manière optimale aux spécifications, ainsi le

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 106

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

choix d’une topologie repose sur les connaissances et l’expérience du concepteur. Ce choix peut-être influencé par d’autres aspects que ceux des performances réels du circuit, par exemple les délais courts de conception.

Après la sélection de topologie vient le choix de la technologie. Un circuit analogique est naturellement dépendant de la technologie utilisée, ainsi l'expertise accumulée lors de la conception d'un dispositif analogique doit être directement réutilisable en cas de migration technologique ou lors de la conception d'un circuit similaire.

Suite aux paramètres choisis par l’utilisateur (niveau de simulation, topologie, technologie, paramètres de conception) survient la simulation au niveau comportemental qui donne les performances afin de calculer le dimensionnement, ainsi le concepteur peut évaluer l’ajustement des variables de conceptions pour respecter les spécifications. A ce stade, on a un couplage entre simulateur et algorithme d’optimisation.

Simulink

Gain SR Vn GBW

Simulateur

Optimiseur

Paramètre descriptifs + Valeur initiale du Paramètre à optimiser

Affichage des paramètres optimaux

Matlab

SNR

Couplage entre simulateur et algorithme d’optimisation

Figure 3.30. Couplage entre simulateur et algorithme d'optimisation

Après le dimensionnement, une autre simulation au niveau électrique (Cadence) sera effectuée pour comparer les performances obtenues avec celles posées par le concepteur.

Suivant les résultats de cette comparaison, soit on ajuste les paramètres de conception, soit on valide la génération de layout. Ces étapes seront détaillées par la suite.

L’objectif pour cette étape de travail est l’automatisation de ce flot de conception, mais le grand problème est l’absence d’automatisation de synthèse analogique, cette absence est due à la diversité des types de circuits, des spécifications, des paramètres physiques à prendre en compte et des technologies ; il est très difficile de concevoir un flot qui s’applique dans tous les cas. De plus, les outils du layout ne sont pas totalement automatiques car le concepteur doit définir

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 107

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

les contraintes de placement et de routage ainsi que les générateurs à utiliser pour les différents composants.

Pour certaines performances du bloc analogique, des limites inférieures et supérieures pour une technologie donnée peuvent être bien connues (comme les limites géométriques ou des critères qui y font référence tels que la fréquence maximale de fonctionnement). En revanche, pour d’autres, aucune connaissance a priori n’est disponible, il s’agit de la consommation, du bruit ou de tout autre phénomène dépendant des architectures et du layout, le rendant donc difficilement prévisible. A partir de ces conditions, le concepteur doit choisir une topologie appropriée représentant 1’architecture du bloc qui répond le mieux aux spécifications.

Habituellement, plusieurs topologies permettent de réaliser une fonction particulière. Le choix dans ce cas s’appuie sur les connaissances du concepteur afin que la fonctionnalité réponde aux spécifications d’une façon plus efficace. Bien qu’un choix qualitatif permette de faire une telle sélection, plusieurs topologies restent dans la catégorie des choix possibles. Le concepteur dans ce cas doit choisir l’une d’entre elles en se basant sur sa propre expérience. En outre, le choix du concepteur peut être influencé par des aspects autres que ceux des performances réelles du circuit. Par exemple, si les délais de conception sont courts, des propriétés telles que la complexité de l’architecture du bloc.

L’étape suivante est le dimensionnement du composant. Cette étape consiste à trouver les variables de conception de la topologie qui peuvent donner les meilleures performances. Par exemple, pour un circuit électrique, les variables de conception sont les tailles des transistors, des résistances, des capacités ainsi que les tensions de polarisation et les courants. La détermination des valeurs des paramètres de conception est une tâche compliquée puisque la relation entre les paramètres physiques et les performances résultantes est une fonction complexe et non-linéaire.

Un calcul manuel simplifié peut être employé pour obtenir les tailles approximatives des modèles. Les formules sont habituellement basées sur des approximations simples des structures réelles qui peuvent différer de manière significative des vrais dispositifs. L’expérience du concepteur peut orienter le choix à faire. Des principes de base sont généralement employés afin de simplifier l’espace de conception, généralement multidimensionnel, continu et large.

Afin d’évaluer les performances au niveau structurel, des simulateurs sont utilisés. Par exemple pour la conception au niveau circuit, des simulateurs comme Spectre sont employés [OCH98] [CAD03]. Un banc d’essai est créé où un ensemble de signaux d’entrée appropriés est appliqué à la topologie afin d’en extraire les performances. Ainsi, le concepteur peut évaluer dans quel sens les variables de conception doivent être ajustées pour respecter les spécifications. Le plus souvent, les outils qui sont mis en place dans les simulateurs pour aider à

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 108

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

l’ajustement des variables de conception ne sont pas suffisants. Parmi ces techniques, le balayage d’un intervalle de valeurs pour les paramètres de conception donne une idée au concepteur sur leur impact sur les performances globales. Cependant cette tâche s’avère très coûteuse en termes de temps de calcul. La recherche est limitée à quelques paramètres qui ne satisfont pas nécessairement aux spécifications; ce qui nécessite des techniques d’ajustement comme l’optimisation. Cependant, les techniques d’optimisation sont capables de manipuler un certain nombre de paramètres de conception.

Si aucune solution réalisable n’est trouvée après avoir effectué plusieurs itérations avec l’ajustement de paramètres et les simulations, le processus de conception est considéré en échec. Le concepteur doit refaire le choix d’une nouvelle topologie plus appropriée que celle choisie auparavant et suivre à nouveau les mêmes étapes.

3.3.2.1. Synthèse de fonction analogique

Dans le domaine numérique, la synthèse correspond au passage de la représentation comportementale à la représentation structurelle. Des outils de synthèse automatique sont couramment utilisés (par exemple le registre de transfert est synthétisé en portes logiques).

Dans le domaine analogique, la synthèse n’est pas aussi triviale. Nous allons dans la suite exposer les problèmes liés à la synthèse des circuits analogiques.

La figure suivante représente une approche typique de synthèse analogique et mixte. Le point de départ consiste à exprimer les objectifs souhaités. La synthèse, débute par la traduction de l’objectif dans un langage de spécification au niveau d’abstraction le plus élevé, au niveau système, puis elle réalise une séparation des fonctions analogiques et numériques. Il s’agit de la première opération de décomposition. Cette opération est effectuée manuellement ou automatiquement (en utilisant des outils EDA Electronic Design Automation). A la fin de cette opération, nous obtenons des spécifications pour chacun des sous-systèmes (analogique et numérique). Les spécialistes des différents domaines sont ensuite chargés de concevoir les sous systèmes en respectant les spécifications.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 109

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

a première étape dans le flot de conception analogique est la synthèse de circuit

ques est l’inverse de l’opérati

appelée traduction de spécifications (décomposition de spécifica

Figure 3.31. Une approche typique synthèse analogique et mixte

L

analogique, qui doit réaliser deux étapes : sélection de topologie et traduction de spécifications. La synthèse est une étape critique puisque la plupart des conceptions requièrent une optimisation et le nombre de contraintes de performances (souvent antagonistes) à satisfaire est grand.

L’opération de synthèse des circuits analogion analyse du circuit. Durant l’analyse, la topologie et les dimensions du

circuit sont données et les performances du bloc sont calculées en utilisant un simulateur comme SPICE. A l’inverse, durant la synthèse, les performances du bloc sont spécifiées et la topologie la plus appropriée pour implémenter ce bloc est déterminée en premier (sélection de topologie). Puis, les valeurs des paramètres du bloc sont déterminées afin que le bloc final satisfasse les contraintes de performances spécifiées.

Cette étape est tions, translation de spécifications, etc.) dans le niveau le plus élevé de la

hiérarchie de conception (niveau système) et dans ce cas les spécifications de performances des sous blocs sont déterminées.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 110

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

L’étape suivante est appelée dimensionnement de circuit au niveau bloc (cas de la cellule de base) et dans ce cas les dimensions de tous les constituants du système sont déterminées.

A l’opposé de tous les autres niveaux, la conception automatique du niveau système est un problème très spécifique [ISK05] [MED93].

L’outil de conception automatique au niveau système doit prendre en considération les spécifications du système rédigées par une personne qui n’est pas experte dans l’outil CAD et créer le schéma bloc. En même temps, il convertit les spécifications du niveau système en spécifications pour le niveau suivant de la hiérarchie (niveau circuit). Autrement dit, l’outil doit d’abord, recueillir de manière organisée les spécifications définies par un utilisateur de façon simple. Ensuite, il doit sélectionner la topologie appropriée pour l’agencement des blocs. Une topologie peut être sélectionnée à partir du type du circuit (convertisseur sigma delta par exemple) qu’elle représente et de l’architecture (mono-boucle) choisie pour réaliser le circuit. Enfin, une fois la topologie choisie, il doit traduire les spécifications du niveau système en contraintes pour chaque bloc.

Dans cette démarche, la sélection de topologie du bloc fixe les contraintes de chaque sous-bloc. En conséquence, il se peut que la topologie sélectionnée engendre des contraintes peu réalistes pour un ou plusieurs sous-blocs. Cette dépendance nécessite la mise en place d’un processus itératif. En même temps, il est très lent d’essayer la conception d’un circuit à chaque itération et de comparer ses performances à celles obtenues pour d’autres configurations. A la place, il est plus efficace de faire appel à un outil d’estimation de performances et le faire fonctionner durant les itérations.

Le synoptique de la Figure 3.32 présente l’outil de conception automatique au niveau système.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 111

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

Figure 3.32. Outils de conception automatique au niveau système Nous avons tenté dans notre travail de recherche d’apporter des réponses

aux problèmes de la synthèse des circuits analogiques au niveau système en concevant un outil qui répond aux exigences du diagramme de la figure précédente. Avant de présenter notre démarche, nous allons poursuivre la définition de la synthèse des circuits analogiques. Pour cela, nous allons développer plus en détail les deux étapes de la synthèse que sont la sélection de topologie et le dimensionnement du circuit.

3.3.2.2. Choix de la topologie Après avoir imposé les spécifications de performances et la technologie, la première tâche de la synthèse réside dans le choix de l’architecture du circuit la plus adaptée pour satisfaire les spécifications en assurant un coût d’implémentation minimal (puissance, surface de la puce). Il s’agit de choisir une topologie qui soit la plus à même d’atteindre les spécifications. Plusieurs choix sont généralement possibles pour une même fonction, et l’expertise du concepteur fait la différence. Dans la mesure où plusieurs architectures peuvent constituer de bons candidats pour garantir le fonctionnement des circuits dans les conditions fixées, le choix repose alors sur des calculs manuels, ou est fixé en procédant de manière empirique. Mais c’est encore une fois sur l’expérience que se fera la différence. En outre, le concepteur est soumis à d’autres facteurs tels que les délais qui lui sont

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 112

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

accordés, la complexité d’une topologie donnée ou même l’usage de circuits dont il est familier, qui peuvent le conduire à faire un choix inapproprié.

Ce problème peut être résolu par le choix d’une architecture parmi plusieurs, comme celles enregistrées dans une bibliothèque (sélection de topologie), ou par la génération d’une nouvelle architecture.

Bien que les problèmes liés à la sélection de topologie et au dimensionnement aient été pris en considération très tôt dans les approches de synthèse, la tâche de sélection de topologies a moins retenu l’attention au cours des dernières années. Les efforts se sont essentiellement focalisés sur le dimensionnement du circuit.

Trouver une topologie optimale pour satisfaire l’ensemble des spécifications de performances est plutôt de nature heuristique et exige une réelle expertise de connaissance d’un concepteur. En effet l’espace des dimensions étant immense, une méthode combinatoire est vouée à l’échec. Ainsi, il était spontané que les premières approches de sélection de topologie comme QASYS, BLADES ou OPSYS restent assez heuristiques dans leur nature. Ces outils utilisent des règles dans un format spécifique pour choisir la topologie appropriée parmi celles enregistrées dans leurs bibliothèques [FEL08].

Une fois la topologie du circuit choisie, intervient le dimensionnement des composants. S’il s’avère que le circuit ne peut atteindre les spécifications, il faut alors envisager de reprendre le processus avec une nouvelle architecture, voire en acceptant des performances amoindries. Cette précision est faite ici pour rendre compte du caractère laborieux que peut prendre le travail de la conception

Il existe également un certain nombre d’approches d’optimisation «Optimization based» qui intègrent la sélection de topologie avec le dimensionnement du circuit dans une boucle d’optimisation. Cela a été fait en utilisant une programmation non linéaire avec des variables mixtes entières et booléennes qui représentent la topologie choisie, ou en utilisant une boucle de simulation à plusieurs niveaux avec un algorithme de type recuit simulé où le simulateur recherche la meilleure topologie et l’algorithme recherche les valeurs correspondantes aux dimensions optimales du circuit.

3.3.2.3. Dimensionnement Quand la topologie la plus appropriée a été sélectionnée, l’étape suivante consiste à traduire les spécifications de la topologie sélectionnée en paramètres de performances des sous blocs (sous systèmes). Les sous blocs sont déterminés en se basant sur les spécifications du bloc entier (système). Au niveau bas de la hiérarchie de conception, ceci revient au dimensionnement du circuit où la taille de tous les composants est déterminée pour que le circuit final coïncide avec les

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 113

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

contraintes de performances spécifiées. Ceci revient généralement à résoudre les équations qui relient les tailles des composants aux paramètres de performances.

Donc, le dimensionnement du circuit consiste à dimensionner tous les transistors, toutes les résistances, capacités et inductances et à définir l’ensemble des tensions et courants de polarisation. La détermination de l’ensemble de ces valeurs est une tâche ardue du fait du non linéarité qui caractérise les relations entre les différentes performances du circuit et ces paramètres. Un calcul basé sur des équations simplifiées des modèles des composants et des circuits permet de définir un jeu de valeurs pour les paramètres de conception. Les concepteurs expérimentés y ajoutent souvent quelques précisions pour favoriser l’obtention de certaines valeurs et par suite, le recours à la démarche empirique est récurrent. L’évaluation des performances obtenues pour un jeu de paramètres donnés, se fait par le biais de simulateurs électriques de type SPICE, HSPICE, SPECTRE, ELDO, etc. [LAB08]. Les premières simulations sont souvent infructueuses, du fait du décalage important entre les modèles utilisés pour le calcul et ceux utilisés par les simulateurs. Intervient alors une longue phase de réajustement des dimensions et valeurs de polarisation afin de répondre aux spécifications.

Les outils disponibles pour aider le concepteur dans cette phase d’ajustement sont de trois types : la simulation paramétrique, la simulation Monte Carlo et l’analyse de sensibilité. Les deux dernières servent généralement aux réglages plus fins et permettent de garantir la robustesse du système. Il ne reste finalement que la simulation paramétrique pour réaliser le dimensionnement. Il va sans dire que l’évaluation de l’impact de chaque paramètre sur l’ensemble des spécifications peut très vite devenir irréalisable. C’est pour cette raison qu’en pratique le nombre de degrés de liberté des paramètres de conception est réduit au maximum. Certaines plateformes de simulation électrique telles que CADENCE ou encore PSPICE offrent une forme simplifiée d’optimisation. Mais ces outils ne permettent pas de gérer un grand nombre de paramètres et pour seulement quelques paramètres et spécifications les temps de calcul peuvent s’avérer très longs. Ces outils peuvent servir tout au plus aux réglages fins des circuits.

Une fois le circuit choisi, il faut dimensionner chaque transistor de la structure retenue pour atteindre les spécifications. Le dimensionnement des circuits analogiques est à l’origine d’un très grand nombre de travaux tant il constitue une étape laborieuse dans la conception, car caractérisé par un cycle de remise en cause pouvant devenir très long pour des circuits complexes. Il s’agit donc de pouvoir accélérer cette étape et proposer une méthode qui soit généralisable à l’ensemble des circuits analogiques. Accélérer une production rime très souvent, en particulier dans l’univers industriel, avec l’automatisation. C’est donc naturellement que les premières solutions envisagées vers la fin des années 80 pour faciliter la phase de dimensionnement des circuits analogiques, furent tournées vers l’automatisation.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 114

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

3.3.2.4. Génération de layout Une fois le circuit dimensionné, le circuit est réalisé au niveau physique pour une technologie cible donnée. La génération du Layout est également une étape très fastidieuse de la conception. Aux dimensions calculées lors de l’étape précédente, on fait correspondre une empreinte physique. Les outils existants permettent d’une part la vérification des règles de dessin associées à une technologie donnée (DRC) et d’autre part la vérification de la correspondance entre le schéma électrique et son implémentation physique (LVS). Bien que ces outils facilitent la tâche du dessin des masques, il reste à vérifier que les spécifications sont toujours atteintes, que les performances n’ont pas été complètement dégradées par les effets parasites (inhérents au placement routage), et que les transistors sont convenablement appariés. Il existe également sur cet aspect un outil qui fournit une vue dite "extraite" (Exctracted) qui permet de prendre en compte tous les effets parasites susceptibles d’apparaître lors de la réalisation physique du circuit. A ce stade, toutes les simulations permettant de vérifier que les spécifications sont atteintes, sont de nouveau relancées. Un décalage trop important entre les spécifications voulues et celles obtenues, qui impliquerait un changement de dimension, peut aussi être synonyme de très gros changements dans le dessin des masques.

3.3.3. Automatisation de la conception analogique

La conception des systèmes électroniques propose aujourd’hui une grande variété d’outils qui permettent de concevoir et de simuler un système numérique ou mixte, etc. Chaque outil est adapté à un niveau d’abstraction choisi et à un domaine ciblé. Ces outils utilisent une variété de langages de conception SKIL, VHDL-AMS, VHDL, C.

La conception des systèmes mixtes analogiques/numériques est simplifiée par la méthodologie de conception hiérarchique, qui permet de réduire la complexité du système en sous blocs, à leur tour divisés en plusieurs cellules, où chaque fonction hiérarchisée peut être réalisée par différents types architecturaux ou topologiques. Cependant, une telle hiérarchie n’enlève rien à la difficulté de réalisation d’un outil automatique de conception de circuits analogiques, étant donné qu’une même fonction (le gain par exemple) peut être obtenue par des éléments de « niveaux hiérarchiques » différents (un transistor, un étage, un amplificateur opérationnel..) et que les interactions entre ces différents niveaux influent très fortement sur les caractéristiques globales du circuit (bruit, bande passante, gain…).

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 115

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

3.3.3.1. Objectif de la conception analogique automatisée Avant de qualifier les métriques d’évaluation des techniques de conception analogique automatisée, il est nécessaire de distinguer les objectifs recherchés par ces techniques. Ceux-ci sont :

Réduction du temps des coûts de conception : La conception manuelle est souvent une tâche longue et difficile. L’automatisation améliorera considérablement la productivité et raccourcira le temps de mise sur le marché. L’automatisation permettra en particulier réduire l’utilisation de techniques de non-formalisées. En effet, ces techniques peu ou pas réutilisables sont une cause importante de surcoût.

Amélioration de la qualité de conception : L’automatisation doit permettre de pallier les failles du processus humain. Un outil pratique de synthèse doit générer des circuits fonctionnels dès la première synthèse. De plus, les performances des éléments du circuit conçus automatiquement doivent être au moins égales à celles qu’obtiendrait un concepteur humain.

Augmentation de la fiabilité : L’automatisation doit tenir compte de la dispersion technologique et des conditions de fonctionnement du composant de circuits. L’outil de synthèse permettra d’augmenter le rendement de fabrication (en testant le fonctionnement du circuit en fonction de la dispersion technologique) et la fiabilité.

Indépendance vis à vis du processus technologique : Le processus de conception doit être le plus indépendant possible du processus technologique. En effet, vu l’espace réduit de fonderie et les dimensions minimales de dispositifs de l’ordre de quelques dizaines de nanomètres, le concepteur ne peut pas se permettre de lier sa cellule à une seule ligne particulière de fabrication. En effet, les technologies avancent sans cesse et les fonderies ne peuvent pas conserver plusieurs lignes de fabrications en parallèle. Pour assurer la continuité de la productivité, l’outil de synthèse doit accepter d’une part les informations pertinentes du nouveau processus de fabrication et d’autre part la bibliothèque des circuits conçue avec le processus de fabrication antérieur. L’outil peut donc être capable d’interpréter et de migrer les circuits.

Simplification du processus de conception : Du moment que des difficultés de conception peuvent être prises en compte automatiquement, le concepteur ou ingénieur d’études peut concentrer son travail sur des questions de niveau système au lieu de se concentrer sur des minuties. Par conséquent, les concepteurs à tous les niveaux de compétence pourront concevoir les blocs analogiques pour lesquels il existe une procédure de génération automatisée. Cependant, un système entièrement automatisé est difficile à explorer même si son utilisation peut réduire le temps de conception et donc réduire le temps d‘industrialisation. Il ne sera jamais bien accepté par les ingénieurs et sera peu

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 116

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

susceptible d’être adopté comme partie intégrante du processus analogique de conception.

3.3.3.2 Critères de succès d’une conception automatisée Cinq métriques permettent de codifier les objectifs quantitatifs précédents :

1. Automatisation : C’est le rapport entre le temps nécessaire pour concevoir un circuit manuellement, pour la première fois, et la durée de conception avec l’outil de synthèse. C’est peut-être le critère le plus important parce qu’il formalise l’objectif de réduction du temps et des coûts. la durée de conception avec l’outil de synthèse est la somme du temps de préparation et du temps de synthèse. Le temps de préparation est le temps nécessaire à formaliser un nouveau problème (en général, correspondant à un nouvel élément de circuit) pour le rendre compatible â l’outil. Le temps de synthèse est approximativement égal au temps CPU exigé pour accomplir la conception proprement dite de l’élément de circuit [OCH98].

2. Précision : La précision ou l’exactitude reflète l’objectif de l’amélioration de la qualité de la conception. Supposant que les outils de synthèse trouvent toujours la solution correspondant aux meilleures performances, la précision détermine la qualité de conception globale. Normalement, la capacité à trouver la solution optimale dépendra de la méthode de recherche employée. Mais l’optimisation est presque impossible pour une tâche aussi complexe que la conception analogique. En particulier, la conception d’un système interdisciplinaire ou multi domaine amplifie largement cette difficulté. Par contre nous comptons sur la précision en tant qu’indicateur plus objectif de la qualité de conception, surtout pour la tâche de comparaison qualitative entre des objets équivalents. La mesure d’un tel critère se base essentiellement sur la comparaison entre le circuit conçu et celui fabriqué.

3. Largeur: caractérise l’ensemble de problèmes de conception que l’outil peut accomplir. Ce critère a été appliqué sur l’ensemble de circuits analogiques que peut traiter un outil de synthèse. Mais une extension de cet indicateur peut être très intéressante pour le classement des outils de synthèse multi domaine dans le futur. La largeur est beaucoup plus difficile à mesurer que l’automatisation ou l’exactitude mais est importante parce qu’elle indique comment un outil est applicable à la tâche de conception en cours. La largeur

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 117

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

d’un système est définie par l’emploi de deux dimensions : généralité, complexité.

4. Généralité : elle est déterminée par les classes des domaines et blocs et des types de caractéristiques d’exécution qui peuvent être manipulées par l’outil de synthèse. Par exemple, un outil peut ne pas pouvoir manipuler des caractéristiques non linéaires ou peut ne concevoir uniquement l’amplificateur opérationnel avec succès.

5. Complexité : elle est déterminée par la tâche la plus complexe de synthèse de composant qui peut être accomplie par l’outil de synthèse avec succès. Elle est la plus difficile à mesurer, parce que la complexité d’une tâche peut être affectée par de nombreux facteurs comprenant le nombre de paramètres de conception, le nombre et la difficulté de spécifications des performances, le nombre de composants dans le circuit, et la difficulté inhérente d’évaluer les performances du circuit.

3.3.3.3. Principe de flot de conception automatisée La conception manuelle est souvent une tâche longue et difficile. L’automatisation améliorera considérablement la productivité et raccourcira le temps de mise sur le marché. Cette automatisation doit permettre aussi de palier les failles de processus humain pour améliorer la qualité de conception et doit tenir compte de la dispersion technologique et des conditions de fonctionnement de l’élément de circuit pour augmenter la fiabilité. Du moment que les difficultés de conception peuvent être prises en compte automatiquement, le concepteur peut baser son étude sur des problématiques au niveau système.

Nous pouvons observer que la chaîne de conception analogique se compose de plusieurs fonctions complexes qui sont réalisées par des applications différentes. Ce sont des applications destinées à être utilisées par un utilisateur humain, donc il faut se demander comment piloter ces applications et comment les faire communiquer (transparence) dans une plateforme avec une interface ergonomique et conviviale.

Le principe d’automatisation utilisé dans le cadre de notre équipe est illustré à la Figure 3.33. Diagramme de principe d'automatisation

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 118

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

Spécification utilisateur

Traducteur

Spécification du problème

Base de données : Architecture pour les différents niveaux d’abstraction

Sélection de topologie

Collecte des données pour optimisation

Traductions des variables de spécification

Matlab optimisation

Simulateurs: MatlabSimplorer Cadence ….

Technologie :-modèle -paramètre

Netlist

Variable de conception

Performance

Valeur paramètres

Fonction coût

Objet dimensionné

Figure 3.33. Diagramme de principe d'automatisation

Pour la conception des circuits analogiques nous avons noté trois phases : l'analyse comportementale, l'analyse électrique au niveau schéma et l'analyse physique au niveau layout. Quel que soit le niveau d’abstraction, le processus d’optimisation est le même.

Nous travaillons au niveau électrique sur les passerelles entre l’outil d’optimisation et le simulateur. Pour ce niveau, il s'agit de sélectionner une topologie parmi des architectures existantes et d'optimiser les dimensions pour répondre aux spécifications de l'utilisateur.

Le processus d’optimisation et le processus de simulation doivent communiquer entre eux pendant l’opération de dimensionnement. Cette opération consiste à trouver un jeu de variables qui vont permettre de concevoir un circuit.

L'optimisation est réalisée sous MATLAB qui fournira un ensemble de paramètres à un simulateur (dans ce cas, le simulateur « SPECTRE » sous CADENCE) afin de vérifier que les spécifications de l'utilisateur sont atteintes.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 119

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

La communication doit se faire à travers de passerelles conçues afin d’accélérer l’extraction de performances. Nous avons élaboré une méthodologie de communication entre ces deux applications hétérogènes. Ainsi nous avons permis l'échange de données entre Matlab et Cadence (données de point de repos, spécifications, etc.) le tout sous une interface réalisée en Visual C++.

Dans cette chaîne de conception, à partir des spécifications, nous souhaitons obtenir les dimensions optimales des transistors. Pour cela, il faut résoudre certains problèmes :

Réaliser une interface graphique conviviale qui peut assurer une communication transparente entre les différents simulateurs utilisés pour la conception. Automatiser le processus d'optimisation et accélérer l'extraction

des paramètres de performances et du point de fonctionnement. Etablir une liaison automatique pour l’échange de données entre MATLAB et CADENCE. Identifier et construire un canal de communication pour la réalisation de cet Interfaçage. Mettre en place une méthode pour effectuer un changement

automatique de topologie à partir des données envoyées par MATLAB contenant les variables et le nom de composant choisi par l’utilisateur. CADENCE reconnaît le type de composant à utiliser, et le remplace dans la netliste des schémas de simulation. Enfin, CADENCE extrait les performances obtenues lors de la simulation pour la topologie sélectionnée, et renvoie les résultats vers MATLAB. Dimensionnement : durant l'optimisation d'un circuit analogique, le

calcul des dimensions des composants se fait sous MATLAB et l'analyse des performances du circuit sous CADENCE. Pendant cette opération de dimensionnement, MATLAB et CADENCE échangent plusieurs données. Donc, nous devons établir une communication automatique entre MATLAB et CADENCE. Une fois les dimensions optimales obtenues il s'agit de générer le dessin des masques tout en respectant les règles de placement et de routage. Développer une méthode de simulation pour un système

électronique complet comme le convertisseur sigma-delta par la décomposition en sous-blocs.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 120

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

3.4. Interface graphique de la conception électronique

Chaque logiciel de simulation étant spécialisé dans un domaine, il est particulièrement intéressant d’élaborer des interfaces entre ces outils. L’objectif de ce travail est de programmer une interface graphique qui permet à une personne qui n’est pas spécialisée de générer un code VHDL-AMS pour n’importe quel modèle de capteur et de concevoir un système analogique par la méthodologie de conception hiérarchique, qui permet de diviser ce système en sous blocs, à leurs tours divisés en plusieurs composants, où chaque fonction hiérarchisée peut être réalisée par différents types architecturaux ou topologiques.

Ce besoin se fait sentir quand on veut simuler l'ensemble d'un microsystème qui a été développé sur différents outils qu'il faut pouvoir faire communiquer entre eux. Pour cela nous avons utilisé comme canal de communication un fichier partagé et nous avons fait des tests en plaçant ce fichier sur le dossier réseau parce que l’échange de données se réalise chaque seconde à cause du temps d’actualisation du réseau, nous allons détailler cette idée par la suite.

La figure suivante présente le diagramme de conception de l’interface graphique. L’utilisateur choisit en premier lieu le niveau de simulation (niveau de système, niveau de composant) et le choix à simuler pour chacun de ces niveaux. Et pour chaque niveau, il y a des étapes à suivre afin d’arriver à l’étape de génération de masques.

Les parties délicates du diagramme sont : La phase d’optimisation qui utilise une passerelle de communication entre deux plateformes différentes MATLAB et CADENCE, L’automatisation de cette communication via une interface homme machine programmée en VISUEL C++.

Pour résoudre le premier problème et développer nos programmes de communication, un sémaphore associé à chaque fichier contrôle l’utilisation. Ainsi, les canaux de communication réalisés sont unidirectionnels. Pour la deuxième difficulté, il existe une bibliothèque « ENGINE » déjà programmée dans MATLAB qui contient des routines pour commander le moteur de calcul de MATLAB. Leurs noms commencent toutes par le préfixe Eng. Les deux solutions seront détaillées dans le paragraphe suivant.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 121

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

Diagramme 3.5. Diagramme de conception de l'interface graphique

T1 : Elaboration du niveau de simulation

T7 : Choix de modèle

T8: Spécification au niveau système

T9 : Sélection de la topologie

T14 : Dimensionnement

T12 : Choix de composant

T10 : Simulation au niveau comportemental

T15 : Extraction des performances et simulation au niveau électrique

R1 : - niveau système (conception comportementale) - niveau composant

T11 : Extraction des performances

T16 : Génération de layout

Valider

Non valider

T14

ValiderR8 : Couplage entre simulateur et algorithme d’optimisation

R5 : plusieurs systèmes modélisés

R7 : Choix des paramètres de conception et de la technologie

Producteur (fichier intermédiaire)

Consommateur (fichier intermédiaire)

T13 : Spécification au niveau composant

R10 : Choix des paramètres de conception et de performance

R9 : plusieurs cmodélisés

omposants

R11 simulation au niveau électrique

Non valider

Producteur

Consommateur

R12 : prototype virtuel

R6 : décomposer le système en sous blocs

R11 simulation au niveau Matlab

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 122

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

3.4.1. Co- simulation Matlab/Cadence et évaluation des performances

Afin de permettre l’usage de plateformes dédiées au cours de la synthèse des architectures analogiques, il nous a fallu développer la co-simulation entre MATLAB et CADENCE. L’outil MATLAB est indispensable pour la phase de calcul basée sur l’optimisation. Il offre en effet :

la possibilité de travailler avec des modèles comportementaux et/ou fonctionnels sous MATLAB mais aussi SIMULINK au niveau système, l’usage de plusieurs méthodes d’optimisation, la mise en place de l’optimisation hybride, l’évolutivité de la partie optimisation (nouveaux algorithmes) la possibilité d’intervenir dynamiquement sur les paramètres de

contrôle de la méthode d’optimisation que nous avons choisis. La plateforme CADENCE est l’une des plus utilisées dans les flots de

conception des circuits analogiques. De plus, cette plateforme est adaptée à l’automatisation de certaines étapes de la synthèse. En effet, nous avons développé un ensemble de scripts pour assurer l’évaluation automatique des performances d’une architecture donnée. La méthode développée par notre équipe est d’ailleurs parfaitement applicable à d’autres structures.

L’échange de données par co-simulation entre ces deux plateformes est une approche tout à fait nouvelle. Toutefois en 2006, lors de la rencontre EMEA (utilisateurs de la plateforme), CADENCE inaugurait une nouvelle Toolbox de MATLAB pour le post traitement des signaux issus de simulation. L’échange ne se fait donc que de CADENCE vers MATLAB. Il est nécessaire d’opérer l’échange de données entre les deux plateformes et dans les deux sens.

La Figure 3.34 illustre l’approche utilisée. Elle indique la nécessité de développer à la fois des modèles comportementaux et fonctionnels sous Matlab, et des circuits de tests sous CADENCE. Ces modèles servent à la constitution d’une librairie de circuits qui est indispensable dans une approche orientée vers la réutilisation. Cette approche peut néanmoins être améliorée par l’automatisation (au moins partielle) de la génération des modèles comportementaux, en utilisant les techniques d’analyse symbolique. L’évaluateur de performances pour sa part est indépendant de l’architecture interne des circuits, mais doit être réalisé pour chaque famille de circuits (amplificateurs opérationnel, comparateurs etc.)

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 123

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

Figure 3.34. Évaluation des performances par Co-simulation Matlab/Cadence

La communication entre les deux plateformes est réalisée de façon simple

par l’échange de fichiers. L’utilisation d’un fichier, comme canal de communication, est possible même dans un environnement hétérogène. En effet un fichier peut être lu par n’importe quelle application, quel que soit le système d’exploitation.

Afin d’assurer l’échange correct des données à l’aide de ce fichier, il est nécessaire de mettre en place un mécanisme de contrôle. Ce dispositif doit coordonner les applications accédant à la ressource partagée que constitue le fichier.

Ainsi, une application dite «producteur» crée un fichier contenant des données à transmettre. Une application dite «consommateur» lit le fichier pour en extraire les données et les exploiter. Deux règles régissent ce transfert :

1. Le producteur ne peut pas déposer un message (écrire des données) tant que le message précédent n’a pas été consommé.

2. Le consommateur attend qu’un message soit produit pour l’exploiter.

Généralement, le mécanisme utilisé pour le contrôle d’accès à une ressource partagée est un «sémaphore». Un sémaphore est un signal échangé entre un producteur et un consommateur leur permettant de se synchroniser au moment de l’utilisation du fichier partagé en évitant tout conflit.

Ces deux opérateurs devant être intégrés dans leurs applications respectives, ils doivent être codés dans le langage spécifique à cette application. Dans le cadre de notre échange entre MATLAB et CADENCE, il faut prévoir dans une itération :

Envoi des variables par MATLAB à CADENCE afin d’obtenir les paramètres du point de fonctionnement (programme MATLAB). Envoi des variables par MATLAB à CADENCE afin d’obtenir des

performances (programme MATLAB). Envoi des paramètres du point de repos par CADENCE à

MATLAB (programme SKILL, le langage propriétaire CADENCE).

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 124

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

Envoi des performances simulées par CADENCE à MATLAB (programme SKILL).

La plupart des langages de programmation offrent des fonctions prédéfinies pour manipuler du texte brut, ce qui rend la gestion des fichiers textes particulièrement accessible. De plus la particularité d’un fichier texte est que l’ensemble du fichier respecte un codage de caractères standard. Nous avons donc choisi d’utiliser des fichiers texte. Comme nous l’avons défini précédemment, nous avons un modèle de sémaphore assez simple, composé du producteur et du consommateur. Ce sémaphore a un fonctionnement typique dans lequel nous avons deux applications qui partagent un fichier utilisé comme canal de communication.

L’utilisation d’un fichier partagé est une méthode, très simple et efficace, pour faire communiquer et synchroniser deux processus : le premier crée un fichier et écrit à l’intérieur, le deuxième lit son contenu.

Nous avons établi la communication et l’échange des données entre les producteurs et les consommateurs en SKILL et à l’aide de scripts MATLAB. Cette communication est réalisée à deux niveaux du processus d’optimisation. D’abord lors du calcul des paramètres dynamiques des transistors au point de repos. En effet, bien que nous ayons implémenté des modèles pour le calcul de ces paramètres sous MATLAB, nous avons souhaité évaluer les résultats obtenus en intégrant les paramètres dynamiques issus de la simulation électrique. Différents cas de figures ont été testés, mais il est possible d’évaluer ces paramètres pour tous les transistors d’une architecture donnée, et pour chaque nouveau jeu de valeur des dimensions de ceux ci. Ensuite, le second niveau d’échange se fait pour le calcul des performances du circuit. Après convergence de l’algorithme, le vecteur de paramètres des circuits (W, L,. . .) est transmis à CADENCE pour l’évaluation électrique des performances du circuit. En plus des paramètres permettant le dimensionnement, nous avons ajouté la possibilité de spécifier le type d’architecture souhaitée. Ainsi, un programme permet d’instancier automatiquement l’amplificateur sélectionné parmi ceux disponibles dans la bibliothèque. Le programme va assurer l’instanciation correcte de l’amplificateur dans chacune des netlists de simulation prédéfinie (Gain, Slew Rate, . . .). Un autre programme (SKILL) permet alors de lancer le jeu de simulations automatiquement. Certaines grandeurs sont extraites automatiquement et renvoyées dans le fichier texte à destination de MATLAB (Av, GBW, MP, . . .). D’autres sont obtenues sur des courbes mais l’extraction des données pertinentes n’est pas encore automatisée (CMR, Output Swing). Des programmes spécifiques ont en outre été développés pour extraire l’information utile des courbes ou issus d’autre fichiers (programmes PERL). Alors, après l’élaboration de cette méthode de transfert de fichier et au niveau de l’interface (IHM), il n’y aura pas une relation directe entre la plateforme de C++ et CADENCE mais par l’intermédiaire de la plateforme MATLAB

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 125

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

(diagramme 3.6) Donc, nous avons besoin de réaliser une communication directe et transparente entre C++ et MATLAB, ce qui est fait par la bibliothèque Engine.

Ouvrir une fenêtre « MATLAB Command Window » derrière les fenêtres de l’interface, qui nous permet d’exécuter n’importe quelle ligne de commande de MATLAB

MATLAB

IHM en C++

Les fonctionne de la bibliothèque Engine de MATLAB

Le sémaphore de transfert de fichier producteur et consommateur

CADENCE

Deux applications transparentes: une application «producteur » crée un fichier contenant des données à transmettre. L’autre dite «consommateur» lit le fichier pour en extraire les données et les exploiter.

Diagramme 3.6. La communication entre les différentes plateformes

Cette bibliothèque Engine de MATLAB est un ensemble de routines qui

nous permet d'appeler MATLAB de nos propres programmes, utilisant de ce fait MATLAB comme moteur de calcul. Les programmes de cette librairie de MATLAB sont des programmes C ou Fortran qui communiquent avec un processus séparé de MATLAB par l'intermédiaire des pipes, sous UNIX, et par une interface composante du modèle d'objet (COM), sous Windows. Il y a une bibliothèque des fonctions équipées de MATLAB qui nous permet de commencer et finir le processus de MATLAB, d'envoyer des données à et de MATLAB, et d'envoyer des commandes d'être traité dans MATLAB. Voici quelques exemples de ce que l’on peut faire avec la bibliothèque Engine de MATLAB :

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 126

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

Appeler une routine de maths, par exemple, pour inverser une rangée ou pour calculer une FFT. Utilisé de cette manière, MATLAB est une bibliothèque de sous-routines mathématiques puissante et programmable. Établir un système entier pour une tâche spécifique, par exemple,

analyse de signature de radar ou chromatographie en phase gazeuse, où l’interface GUI est programmée en C et le code d’analyse est programmé dans MATLAB.

Le moteur de MATLAB fonctionne en arrière-plan comme un processus séparé de notre propre programme. Ceci offre plusieurs avantages :

Sous UNIX, le moteur de MATLAB peut tourner sur votre machine, ou sur n'importe quelle autre machine d'UNIX sur votre réseau, y compris des machines d'une architecture différente. Ainsi vous pourriez mettre en application une interface utilisateurs sur votre poste de travail et exécuter les calculs sur une machine plus rapide située ailleurs sur votre réseau. La description de la fonction d'engOpen offre les informations supplémentaires. Au lieu d'exiger que tout le MATLAB soit lié à votre programme

(une quantité substantielle de code), seulement une petite bibliothèque de communication de moteur est nécessaire.

La description et l’objectif de toutes les fonctions disponibles dans la bibliothèque Engine et utilisés dans notre interface graphique est détaillé en Annexes B. On présente par la suite le principe de fonctionnement de l’IHM.

3.4.2. Conception niveau Composant

Après le choix du composant (ex : AOP-P ou AOP-N) l’utilisateur doit le configurer en entrant les paramètres de conception, de performance et de réglage. Figure 3.35. Spécification niveau composant et configuration

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 127

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

Au moment de spécifier les paramètres de conception, nous avons plusieurs choix, comme il est illustré dans la Figure 3.36 :

Figure 3.36 Les paramètres de conception

Le bouton « initialisation » : les valeurs par défaut sont données pour tous les paramètres dans la liste. Le bouton « Ajouter » : ajouter des nouveaux paramètres de

conception dans la liste avec ses valeurs « I_Value » et « Unité », « Low », « Upper » ; ou créer une nouvelle liste.

Dans les deux cas, si ces valeurs ne conviennent pas, on peut les changer à l’aide du bouton « Modifier » ou supprimer par le bouton « Supprimer ».

Au niveau de la programmation, le paramètre traité est une variable de type « struct » avec des champs de types différents.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 128

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

struct parametre_de_conceptions { CString nom; float i_value; float e_value; CString unite; float Lb; float Ub; CString comment; };

Pour terminer la phase d’initialisation, l’activation du bouton « SetVariable » transmet à Matlab les paramètres de conception vecteur par vecteur, mais l’exécution de l’algorithme d’optimisation de Matlab qui calcule les valeurs estimées pour la liste sera envoyée par le bouton « Open File ».

A ce niveau, une simulation au niveau électrique est effectuée pour l’extraction des valeurs estimées. Après de recevoir un message que le programme il a bien exécuté, donc sera possible de récupérer les valeurs estimés pour tous nos paramètres de conception et par le bouton de « GetVariable » de fenêtre correspondant.

Donc pour une liste de variable, on obtient des vecteurs de paramètres à transmettre, un vecteur pour chaque valeur, comme il est illustré dans les deux tableaux suivants : Set Variable :

Get Variable :

"PC_Name" CString "PC_IV" Double "PC_Unit" CString "PC_Low" Double "PC_Upper" Double "PC_Desc " CString

"PC_EV" Double

Tableau 10 . Paramètres de conception

Une comparaison entre eux et les performances au niveau comportemental valide ou non la génération du Layout.

Remarque : l’environnement Matlab ouvre en transparent (figure 3.37) au premier lancement du logiciel pour permettre l’échange de données entre l’interface graphique et les fichiers de Matlab de notre projet dans toutes les fenêtres de l’application.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 129

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

Figure 3.37. Environnement Matlab de l'application

Dans cet environnement on peut vérifier le transfert de données entre

MATLAB et l’interface graphique comme si on était dans l’environnement réel de MATLAB.

La Figure 3.38, présente le même principe au niveau de la spécification

des paramètres de performances :

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 130

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

Figure 3.38. Les paramètres de performances

Ces paramètres de performance programmés comme une structure : struct parametre_de_specification { CString nom; float i_value; float e_value; CString type; CString unite; CString comment; };

En plus, pour cette fenêtre, on a le même principe pour les deux boutons Get et Set Variable,

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 131

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

Tableau 11. Paramètres de performance

Set Variable :

Get Variable :

"Ud_Name" CString "Ud" Double "PP_Unit" CString "class" Integer "PP_Desc " CString

"PP_EV" Double

Toute spécification incluse dans l’évaluateur de performances peut être

définie comme une contrainte, un objectif, ou indifférente (au sens où elle n’est pas prise en compte dans le problème), comme l’illustre la Figure 3.39. Donc pour pouvoir prendre en compte de nouvelles grandeurs dans un problème de synthèse, il faut être capable d’en définir une expression, excepté si l’on souhaite évaluer cette grandeur directement à partir d’une simulation électrique. Auquel cas, il faut évaluer la performance à chaque évaluation de la fonction coût, ce qui nécessite un temps très long et ne correspond pas à la démarche que nous préconisons.

Le type des paramètres de performances est string mais le vecteur correspondant envoyé à Matlab est de type entier nommé « classe » avec des valeurs entre 0 et 6 qui relient chaque type avec un numéro.

Figure 3.39. Le choix de type d’optimisation

Ex : Pour le vecteur de type suivant : Type=[CONSTANTE,CONTRAINTE:MAXIMIZE",CONTRAINTE:MINIMIZE, CONTRAINTE:EGALE, OBJECTIF:<, OBJECTIF:>, OBJECTIF:=] On a le vecteur de calss suivant : Class = [0,3,2,1,5,6,4];

La dernière étape de spécification est le réglage qui contient le choix de la

méthode de résolution. Nous travaillons avec la plateforme MATLAB, qui dispose

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 132

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

de différents types d’algorithmes (algorithmes locaux et globaux). Nous avons développé un programme qui permet d’utiliser conjointement plusieurs algorithmes. Comme l’indique la Figure 3.40, l’utilisateur peut utiliser sept méthodes de résolution différentes.

Figure 3.40. Paramètres de réglage Set Variable :

Get Variable :

"P" Double "TheSolverMethod " CString "TheTestName" Int

Tableau 12. Paramètres de réglage

Dans le chapitre suivant, on va prendre comme exemple l’amplificateur opérationnel pour expliquer la méthodologie de cette conception avec notre plateforme.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 133

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

3.5. Conclusion

Nous avons, au cours de ce chapitre, fait une présentation des méthodologies et des flots de conception analogique manuels et automatisés. A partir de cette étude, nous avons pu développer notre propre plateforme de conception automatisée basée sur le partitionnement des structures complexes.

L’objectif du partitionnement est de permettre la simplification du problème de conception. Par ailleurs, pour rendre le système reconfigurable ou paramétrable, ce partitionnement repose sur une méthode de conception descendante mais aussi, de plus en plus, sur la Co-simulation entre différents éléments du système, ou entre différents niveaux d’abstraction. Toutefois, comme le rappelle l’étude de la première partie et compte tenu du contexte de ce travail, notre objectif se limite à automatiser la phase de la conception analogique, dans le but de réaliser une interface capteur paramétrable. Dans la seconde partie, nous avons exposé la démarche suivie pour réaliser une interface capteur universelle, et pour automatiser une méthode d’optimisation développée par notre équipe, permettant la synthèse automatique des architectures analogiques associées.

Pour un système analogique quelconque, sur lequel on souhaite appliquer une méthode de conception dite descendante, la première difficulté consiste à identifier des seuils de décomposition en sous blocs.

Le but est d’automatiser (et accélérer) le flot de conception, chaque bloc d’un niveau hiérarchique donné doit pouvoir être conçu à partir des spécifications. L’idée d’IP analogique virtuel (appelé également "Soft IP") prend donc forme pour accélérer la conception analogique, et permettre la réutilisation dans différents contextes de fonctions analogiques.

Nous avons vu dans cette partie les différents choix méthodologiques et technologiques que nous avons adoptés. Ainsi, pour atteindre l’objectif d’une plateforme d’aide à la conception de microsystèmes, nous avons orienté nos travaux sur la génération d’un prototype virtuel pour l’interfaçage de capteur. L’automatisation peut se faire en formulant un problème l’optimisation dans au moins trois des phases de la conception d’un système :

Dans la propagation des contraintes entre les niveaux hiérarchiques, Dans le dimensionnement d’un bloc analogique, à un niveau

hiérarchique donné, Dans la synthèse du dessin des masques du circuit d’un bloc

analogique donné. Une méthode d’optimisation est ainsi développée dans le cadre de notre

équipe pour permettre la synthèse des blocs analogiques en réduisant l’intervention du concepteur au niveau physique. Cette méthode est intégrée dans notre

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 134

Chapitre 3 : Automatiser la conception analogique : mise en œuvre de la plate forme

plateforme, et repose sur trois étapes essentielles : la formulation automatique de la fonction coût, l’optimisation multi-objective hybride, ainsi que la Co-simulation MATLAB/CADENCE pour l’évaluation des solutions potentielles.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 135

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

Chapitre 4 : Mise en œuvre sur un capteur d’humidité Sommaire

4.1. Introduction..................................................................................... 137 4.2. Capteur d’humidité.......................................................................... 139

4.2.1. Modélisation d’un capteur d’humidité ..................................... 140 4.2.2. Retranscription du modèle en VHDL-AMS à l'aide du logiciel 144 4.2.3. Modélisation et résultats de simulation .................................... 149

4.3. Différent architecture de l’interface capteur programmable ............. 151 4.3.1. Première architecture : le capteur hors la chaîne de mesure ..... 151 4.3.2. Deuxième architecture : intégration de capteur dans la chaîne de mesure ................................................................................................. 155

4.4. Le convertisseur sigma-delta .......................................................... 157 4.4.1. Le modulateur sigma-delta ...................................................... 159 4.4.2. Le principe de fonctionnement du modulateur Sigma delta ..... 159 4.4.3. Les imperfections .................................................................... 165

4.5. Microsystème entier ........................................................................ 173 4.6. Application de la synthèse analogique : sous bloc AOP de l’intégrateur du SD ........................................................................................................ 174 4.7. Conclusion ...................................................................................... 181

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 136

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

4. Mise en œuvre sur un capteur d’humidité

4.1. Introduction Les travaux réalisés s’inscrivent dans la perspective de la réalisation d’une interface capteur universelle. Il s’agit de permettre à un concepteur d’interfacer différents types de capteurs sans être contraint de reprendre totalement l’architecture de son interface. En particulier, le choix d’un convertisseur analogique numérique est discuté. Il s’agit alors d’orienter nos efforts vers la réalisation d’un composant analogique virtuel (le convertisseur) pouvant permettre sa réutilisation dans différents contextes. Ainsi, nous appliquerons le concept de conception descendante dirigée par contraintes au cas d’un modulateur de type Sigma Delta. Les techniques d’optimisation mises en œuvre et décrites dans le chapitre précédent seront exploitées et évaluées.

Dans le cadre de la réalisation de la plateforme d’aide à la conception de microsystèmes, les travaux de l’équipe s’orientent vers l’interfaçage de capteur pour des signaux basses fréquences.

En outre, l’objectif est de pouvoir réaliser une interface capteur sous forme d’un composant virtuel paramétrable. Il convient donc de choisir une architecture qui réponde à la fois à la nature des signaux à traiter, et à la nature de l’application visée. La sélection d’une technique de conversion est le premier critère à prendre en compte, dans la mesure où il dépend de la bande passante et de la résolution des signaux à traiter. Une comparaison simple des techniques existantes (Figure 4.41 [LAB08]) permet déjà une première sélection. En effet, pour les applications visées dans la plateforme d’aide à la conception de notre équipe de recherche, c’est-à-dire les signaux basses fréquences, le sigma delta semble tout à fait approprié. De plus, cette technique de conversion permet d’atteindre des niveaux de résolution élevés, en maintenant une consommation faible et un faible coût de fabrication (car l’architecture est simple).

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 137

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

Figure 4.41. Sélection de convertisseur

La mesure des éléments physiques et chimiques est une tache

indispensable dans les contrôles des systèmes et procédés. Le capteur ou transducteur convertit le mesurande en un signal électrique tel qu’une tension, un courant, une variation de résistance ou capacité.

Les données obtenues à partir d’un transducteur doivent alors être traduites en un signal compréhensible par l’homme, un ordinateur ou encore un appareil de mesure. Une telle tache est en général réalisée par une électronique appelée interface capteur. Les fonctions réalisées par l’interface capteur peuvent aller d’une simple amplification ou de filtrage, à la conversion analogique - numérique, le calibrage, le traitement du signal voir l’intégration d’un bus de communication.

Les interfaces capteurs dépendent fortement de la quantité à mesurer, du phénomène physique mis en jeu, de l’architecture du système et des applications auxquelles il est dédié. On va étudier comme exemple le convertisseur sigma delta et l’amplificateur opérationnel.

Dans notre travail on a choisi de travailler sur un capteur capacitif (capteur d’humidité) en profitant de l’avantage du convertisseur sigma-delta qui permet d’intégrer le capteur comme un élément actif du modulateur.

Ce chapitre va présenter deux applications : la première est le générateur automatique d’un code VHDL-AMS pour le capteur d’humidité en utilisant le

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 138

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

générateur présenté dans le 2ème chapitre. Ainsi, nous verrons ce capteur d’une part comme un composant indépendant, d’autre part, avec son interface électronique en étudiant les différentes architectures de l’interface capteur programmable. La deuxième application va appliquer la méthodologie de conception analogique automatisée, que nous avons développée, sur le convertisseur sigma delta.

4.2. Capteur d’humidité

Les capteurs d'humidité sont des condensateurs à deux bornes. La valeur de la capacité augmente quand des molécules d'eau sont absorbées dans son polymère diélectrique actif. Les plaques de condensateur consistent en une plaque de base et une plaque de platine perméable à l’eau.

Le principe de ce capteur est basé sur la variation de capacité aux bornes de deux films polyamides métallisés. Le diélectrique large de quelques microns permet de piéger les molécules d'eau suspendues dans l'air modifiant de la sorte la capacité résiduelle du capteur. Les armatures du condensateur restent immobiles de sorte que la valeur de la capacité ne varie que par le biais de la permittivité du diélectrique

Figure 4.42. Capteur d’humidité capacitif

La valeur de la capacité peut se mettre sous la forme suivante :

esC .ε= C : capacité en Farad.

ε : permittivité relative du diélectrique.

S : surface des armatures face à face.

e : épaisseur du diélectrique.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 139

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

4.2.1. Modélisation d’un capteur d’humidité

Nous avons vu dans le deuxième chapitre la méthode de modélisation et nous allons l'appliquer maintenant au capteur d'humidité. Mais avant cela, il faut se munir des différentes équations qui vont modéliser le capteur.

A partir de la thèse de Mlle Céline Laville [LAV03] et de la documentation technique de la société Humirel [HUM02] sur ces capteurs d’humidité, voici les éléments importants que nous avons retenus:

La valeur de la capacité est déterminée par calcul analytique selon la thèse de Mlle Laville. Cette méthode permet d'avoir l'équation approximative de la valeur de la capacité en fonction de ses paramètres géométriques.

La modélisation du diélectrique est un peu plus compliquée, car il varie en fonction de l’humidité, de la température et du temps. L’évolution de l’humidité en fonction du temps est régie par l’équation de diffusion qui ne peut être résolue en VHDL-AMS pour le moment.

Concernant le premier point, si l'on dispose de l'expression analytique de la capacité, il va être possible de faire des simulations en constatant l’impact des différents paramètres géométriques du condensateur sur la réponse du système.

Dans le cas contraire, sachant que toutes les capacités peuvent se mettre sous la forme C=εr.C0, on pourra faire l'étude en considérant Co comme capacité de référence et se concentrer sur l'évolution de εr en fonction des autres paramètres (temps, température, humidité...).

Pour l'établissement de notre modèle, nous avons recoupé les informations, contenues à la fois dans la thèse de Mlle Laville et dans la documentation constructrice, pour établir les outils mathématiques nécessaires à la modélisation du capteur. Cependant, dans cette étude, nous allons renseigner notre modèle à partir uniquement des valeurs disponibles dans le document constructeur de la société Humirel. On pourra ainsi comparer nos résultats avec ceux du constructeur, qui propose plusieurs montages et résultats associés, et vérifier immédiatement la validité de notre modèle.

Pour contourner le problème de la résolution de l'équation de diffusion, nous proposons de décrire le phénomène à partir de deux équations. La première va déterminer la valeur de la permittivité relative du diélectrique et la deuxième son évolution en fonction du temps, à l’aide d’un modèle du premier ordre.

Le choix du modèle temporel, s’est appuyé sur les résultats de simulation obtenus par Mlle Laville dans ses travaux (le temps de réponse a une allure exponentielle) et surtout sur le document constructeur de la société Humirel qui définit le temps de réponse de ses capteurs par la constante de temps d’un 1er ordre.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 140

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

Ce modèle dynamique est aussi appuyé par les travaux d’A. Télin, H. Lévi et C. Pellet [PUB02] qui ont modélisé un capteur d’humidité avec le même modèle mathématique. Cependant ils ont inclus une constante de temps différente pour la déshumidification du diélectrique.

Figure 4.43 Évolution de la valeur de la capacité en fonction de l'humidité relative RH.

Le polynôme d’interpolation de la figure donné par le constructeur est le suivant :

)10*0.1RH*10*19.2RH*10*36.1RH*10*25.1(55@C 132537

capt−−−− ++−=C

Avec RH l’humidité relative, et C@55% la valeur de la capacité qui est de 180pF pour un taux d'humidité de 55% à température ambiante de 25°C.

Le coefficient en température du capteur est faible, de l’ordre de 0.1%RH/°C. Un tel coefficient est très difficile à mesurer car il correspond à des écarts en humidité proche des résolutions de mesure.

La sensibilité du capteur à la température est majoritairement liée au phénomène de dilatation des couches de polymère constituant le diélectrique. Par conséquent, l'humidité joue un rôle non négligeable dans ce coefficient comme le montre les courbes suivantes :

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 141

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

Comportement en Température

0

0,05

0,1

0,15

0,2

0,25

0,3

0,35

0,4

-20 0 20 40 60

Température (°C)

Coe

ff de

tem

péra

ture

(%R

H/°C

)

25%RH55%RH80%RH

Figure 4.44. Comportement en température. La capacité C@55% va nous servir de capacité de référence pour établir le

modèle. De ce polynôme, on déduit le coefficient de permittivité relative dû à l'humidité dans le diélectrique:

132537 10*0.1RH*10*19.2RH*10*36.1RH*10*25.1 −−−− ++−=rhumiditeε

Néanmoins, le polynôme ne tient pas compte de l'évolution de la

permittivité en fonction du temps et de la température, il faut donc introduire deux autres équations. La première est une équation différentielle du 1er ordre :

rhumiditertpsrtps

tεε

ετ =+

∂∂

Cette équation permet de faire évoluer la permittivité rtpsε en fonction du temps jusqu'à la valeur rhumiditeε calculée précédemment à l'aide du polynôme. Cette évolution se fait avec une constante de temps τ donnée par le constructeur qui vaut 5 secondes.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 142

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

Ainsi 0CC rε= avec =C@55% et la permittivité relative 0C rε est donnée par :

rtpsr εε =

Le polynôme d'interpolation rhumiditeε met en évidence une faiblesse importante du modèle choisi. En effet, le phénomène qui est responsable de la modification de la permittivité est la diffusion de l'humidité dans le diélectrique. C'est à dire que rhumiditeε devrait être fonction du temps et de la position dans le diélectrique. Pour illustrer ces propos la Figure 4.45 montre l'évolution de l'humidité à travers le diélectrique pour deux instants différents t1 et t2, avec t2>t1 :

Figure 4.45. L’évolution de l'humidité à travers le diélectrique pour deux instants différents t1 et t2, avec t2>t1

Enfin la deuxième équation nous informe que la variation de la capacité

est proportionnelle à la variation de température :

TTC cc∆=∆

Avec Tcc=0.04pF/K (valeur du constructeur)

De cette analyse l'ensemble des équations qui constituent notre modèle sont :

132537 10*0.1RH*10*19.2RH*10*36.1RH*10*25.1 −−−− ++−=rhumiditeε (1)

rhumiditertpsrtps

tεε

ετ =+

∂∂

(2)

rtpsr εε = (3)

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 143

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

0CC rε= (4)

TTC cc∆=∆ (5)

Nous allons maintenant pouvoir décomposer notre capteur en blocs afin de retranscrire notre modèle en VHDL-AMS à l'aide du logiciel.

4.2.2. Retranscription du modèle en VHDL-AMS à l'aide du logiciel

Comme nous l'avons vu précédemment la modélisation du capteur passe par trois étapes. Nous allons donc effectuer cette modélisation en suivant le cheminement proposé par le diagramme1 du deuxième chapitre:

1. Décomposer le capteur en plusieurs transducteurs. Comme cela a été dit en introduction le principe de mesure de l'humidité

repose sur la variation de la permittivité du diélectrique en fonction de l'humidité. Cette variation va produire une variation de la capacité du capteur. Il apparaît donc deux transducteurs, un pour le diélectrique et l'autre pour les armatures du condensateur. Donc, Le capteur est décomposé en deux transducteurs :

- Diélectrique : l’humidité provoque une variation de la permittivité du matériau, et la fonction de transfert dépend du comportement de l’isolant avec l’humidité. La grandeur d’entrée est l’humidité et celle de la sortie est une valeur de permittivité.

- Les armatures du condensateur : la fonction de transfert que forme les deux armatures est le rapport s/e. La grandeur d’entrée provient du 1er transducteur et la sortie est une valeur de capacité.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 144

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

Figure 4.46 : Décomposition du capteur d’humidité en une chaîne de transducteur

2. Est-ce que les équations suggèrent de décomposer un transducteur ?

Cette étape permet de faire une première vérification de nos équations et de voir si chaque grandeur possède une équation qui la relie à une autre. Dans notre cas, on peut constater que le dernier transducteur 'Armature du condensateur' qui va agir avec l'extérieur par l'intermédiaire des grandeurs physiques Ic et Uc ne permet pas de le faire, car il manque une relation qui relie Ic, Uc et C. Cette équation est bien entendu l'équation électrique du condensateur :

tUC

I cc ∂

∂= )*(

(6)

Maintenant que le modèle est complet au sens des relations nécessaires pour décrire complètement un capteur en VHDL-AMS, nous pouvons répondre à la question :

L'équation (6) que l'on vient d'introduire qui était implicite au moment de la modélisation peut former un bloc à part. La réponse à la question est donc oui.

Remarque : on peut tout à fait dire non et introduire cette équation dans un transducteur. La modélisation du capteur pourra tout de même se faire avec le logiciel et sera simulée avec les mêmes résultats. La seule différence est au niveau de la présentation du code et du nombre de blocs créés.

3. Décomposer le transducteur ou bloc en une chaîne de blocs. En insérant un bloc entre 'armature du condensateur' et 'électronique du

traitement du signal' nous obtenons la décomposition suivante :

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 145

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

Figure 4. 47. Décomposition du capteur d’humidité en une chaîne de blocs

Au deuxième passage la décomposition est plus souple puisque chaque bloc peut faire l'objet d'une étude complète, ce qui est très intéressant au niveau du développement de capteurs et plus généralement de microsystèmes multi domaines.

Par exemple pour ce capteur, les deux premiers blocs « diélectrique » et « armature du condensateur » vont être traités par des physiciens qui vont déterminer les lois qui régissent l'évolution des grandeurs d'entrée et sortie et les deux derniers blocs par des électroniciens. Les différents blocs seront modélisés et retranscrits dans un langage commun qu'est le VHDLAMS.

Nous avons choisi de prendre un modèle de capacité parfaite:

Avec l’équation : tUC

I cc ∂

∂= )*(

Mais rien n'empêche de prendre un modèle plus complet. Qui tient compte des résistances des fils et des

courants de fuite du diélectrique. Ce bloc, qui au départ ressemblait à un artifice

pour permettre de faire fonctionner la simulation, se révèle être tout aussi important que les autres.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 146

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

4. Associer à chaque transducteur et bloc les équations.

Maintenant que le découpage est fait, il suffit de remplacer les blocs avec les équations qui conviennent, c'est à dire par au moins une relation reliant la grandeur d'entrée et de sortie.

En effectuant cette opération, on se rend compte que l'équation (5) pose problème puisqu'elle relie T et C, alors que dans notre décomposition cette relation n'est pas possible. Il y a deux solutions. La première est d'introduire une variable supplémentaire à la sortie du bloc diélectrique et une entrée au bloc armature du condensateur.

C∆

La deuxième solution permet de garder le découpage de la Figure 4. 47. Pour cela il faut remarquer que les équations (3) et (4) permettent d'introduire l'équation (5) mais à condition de réécrire (3) comme ceci :

0

*C

TT ccrtpsr

∆+= εε

Dans notre cas cela donne : Diélectrique : Entrée : RH, T Sortie : rε Équations :

132537 10*0.1RH*10*19.2RH*10*36.1RH*10*25.1 −−−− ++−=rhumiditeε

rhumiditertpsrtps

tεε

ετ =+

∂∂

0

*C

TT ccrtpsr

∆+= εε avec 0TTT −=∆

Armature du condensateur : Entrée : rε Sortie : C Équation :

0*CC rε=

Modèle électrique : Entrée : C Sortie : Ic, Uc Équation :

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 147

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

tUC

I cc ∂

∂= )*(

Nous pouvons passer ainsi à l'étape suivante. 5. Est-ce qu'un bloc ou transducteur est trop lourd en équations?

Le bloc qui comporte le plus d'équations est le bloc diélectrique, elles sont au nombre de quatre. Cela ne pose aucun problème et donc la réponse est non.

6. Fin de la décomposition.

Maintenant que nous avons découpé le capteur en une chaîne de blocs qui contiennent chacun une ou plusieurs équations, nous pouvons générer un fichier VHDL-AMS qui décrit le comportement du capteur selon la modélisation effectuée.

L'étape suivante qui consiste à entrer les données dans le logiciel est expliquée dans la section logicielle. Voici une partie du code VHDL-AMS généré qui traduit le comportement du capteur d’humidité : -- Comportement du diélectrique

tau*er_tps'dot + er_tps == er_hum; er == er_tps + ( T - T0 ) * Tcc / C0; P == 0.0; --puissance thermique dissipée par le boitier du composant er_hum == a0 + a1 * HR + a2 * HR * HR + a3 * HR * HR * HR;

-- Comportement électrique de la capacité

Ic == Q'dot; --équation reliant Ic Vc et C Q == C * Vc; --charge sur les armatures

-- Capacité

C == er * C0; Dans cette partie de code nous pouvons voir apparaître le découpage

choisi pour modéliser le capteur. On retrouve facilement les trois blocs qui décrivent le comportement du diélectrique, la capacité et le modèle électrique et les équations qui y sont associées.

Le modèle en VHDL-AMS du capteur d'humidité répond au cahier des charges qui nous a été donné c'est à dire la prise en compte de l'aspect temporel. Le seul paramètre qui est encore statique est l'évolution de la capacité en fonction de la température. Pour en tenir compte il faudrait un modèle plus compliqué du capteur.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 148

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

4.2.3. Modélisation et résultats de simulation

Pour la modélisation du capteur d’humidité, on va étudier la relation directe entre la capacité et l’humidité sans prendre en compte les effets de la température Rh (T) et de la permittivité relative du diélectrique Rh (ε) sur l’humidité. Le schéma synoptique du capteur se présente sous la forme suivante :

Capacité C (Rh) C (t)

Générateur d’humidité

Rh (t)

Figure 4.48. Schéma synoptique du capteur d’humidité

Il faut d’abord générer une humidité qui varie en fonction de temps : Rh = Rh0 + Amp * sin (2π* F) Où : Amp: amplitude de signal d’entrée. F: fréquence de signal (F=1/t) Avec Amp=50, Rh0 = 50 et F=10Hz.

F

l

d

p

H

RH

igure 4.49. Variation de l'humidité en fonction de temps

On peut estimer la capacité équivalente du capteur Ccapt en fonction de ’humidité relative RH avec la formule suivante :

161.817RH%*10*3.26342RH%*10*7.23137RH%*10*1.45536C 12435capt ++−= −−−

La fonction de transfert total du capteur est donc de la forme : ).( 3

32

210 xaxaxaaCrefC +++= Avec a0, a1, a2, a3 : constantes, C en pF et %RH en pourcentage

’humidité relative. On obtient alors une variation de la capacité en fonction de l’humidité à

eu prés proportionnelle.

AMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 149

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

La figure suivante est la présentation graphique de cette variation :

Figure

fonctionSigma-D

Figure

HAMOUI R

4.50. Variation da la capacité en fonction de l'humidité Le signal de sortie du capteur représente la variation de capacité en du temps, cette variation serra intégrée dans l’intégrateur du modulateur elta.

4.51. Variation de la capacité en fonction du temps

ima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Ly

RH

on 150

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

4.3. Différentes architecture de l’interface capteur programmable

Notre démarche s’appuie sur le principe de conception en vue de la réutilisation. On souhaite pouvoir interfacer différents types de capteurs avec une seule et même interface. Il faut donc produire un prototype virtuel du système qui va assurer la conversion, et automatiser les différentes étapes de la synthèse. En plus des performances intrinsèques des convertisseurs de type Sigma Delta, ces architectures se prêtent particulièrement bien au prototypage que l’on souhaite réaliser. En effet, pour permettre l’interfaçage de différents types de capteurs, deux approches sont envisageables [ABO06].

4.3.1. Première architecture : le capteur hors la chaîne de mesure

Dans la première solution que nous avons étudiée pour avoir un bon compromis entre la surface et la précision, nous avons choisi d’utiliser un multiplexeur sur différents types de transducteurs, selon la nature du capteur. Le signal est ensuite mis en forme à l’aide d’une chaîne de traitement permettant d’amplifier et de filtrer le signal électrique obtenu en utilisant un amplificateur à gain programmable (PGA) et un filtre passe bas (FPB). Ce signal est alors soumis au convertisseur analogique numérique de type sigma delta.

Figure 4.52. Schéma bloc d'une interface générique pour l'acquisition de signaux issus de différents capteurs

La chaîne de mesure est représentée par la Figure 4.52, elle est composée de : 1. un bloc convertisseur (grandeur électrique-tension) il acquiert et

amplifie le signal électrique du transducteur. Sa fonction principale

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 151

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

est de convertir les différents signaux électriques issus de capteurs en tension. Son schéma de principe est présenté par la Figure 4.53.

Figure 4.53. Convertisseur grandeur électrique en tension

Exemple l : capteur capacitif (comme un capteur de pression ou un accéléromètre). La valeur de la capacité change quand on applique une force. On utilise un amplificateur de charge qui va traduire les variations de la capacité en une tension. La valeur de la capacité de référence est programmable ce qui va nous permettre d’interfacer plusieurs capteurs capacitifs. Pour cela le principe de capacité par unité de surface est utilisé.

Exemple2 : capteur chimique (comme le Chemfet ou l’intensité du courant est mesurée en fonction de la concentration de l’ion à mesurer) ou photodiodes. On utilise un convertisseur courant tension.

La conversion grandeur électrique/tension nous a permis de proposer une première architecture d’interface programmable pour différents types de capteurs. Pour cela deux points principaux ont été pris en compte :

D’une part, la décision d’utiliser un amplificateur par capteur avec un gain adapté au signal ou, au contraire, un amplificateur commun à l’ensemble des capteurs et qui, dans ce cas, doit être programmable. L’architecture d’un tel composant est très simple puisqu’elle fait appel à un amplificateur opérationnel dont la résistance de contre réaction peut être sélectionnée par programme en activant un multiplexeur programmable dont la commande est réalisé à l’aide de signaux numériques. Il est possible de réaliser la même fonction de gain programmable en utilisant la technique des capacités commutées.

D’autre part, la décision d’utiliser un convertisseur par capteur ou, au contraire, un convertisseur commun à l’ensemble des capteurs qui, dans ce cas, doit être capable de convertir les différents signaux. Pour cela l’amplificateur joue un rôle important puisqu’il doit amener le signal dans une gamme de tension adaptée pour la conversion analogique.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 152

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

Dans le premier cas (un CAN par capteur), chaque convertisseur effectue la conversion du mesurande qui lui est associé. Le résultat est stocké dans le bloc traitement numérique. L’avantage de cette structure réside dans le fait qu’aucun réglage n’est nécessaire pour amener la tension d’entrée du CAN dans une plage optimale. De plus la structure du CAN est adaptée au signal à convertir (on utilise un convertisseur unipolaire lorsque le signal est unipolaire et un CAN bipolaire dans le cas ou le signal est bi-polaire) [FEL08]. Son inconvénient réside dans le fait qu’elle est couteuse en termes de surface.

Dans le deuxième cas (un seul CAN pour différent types de capteurs), afin d’assurer la meilleur précision et la meilleur résolution, la tension à l’entrée du CAN doit avoir une valeur élevée. Il en résulte que le gain choisi pour chaque voie de mesure doit être compatible avec la dynamique d’entrée du CAN.

Dans les deux cas et selon les besoins des différentes applications (précision, rapidité, faible coût, etc.), on doit choisir la structure du convertisseur la plus adaptée.

L’objectif de l’architecture que nous proposons est de pouvoir adapter les performances du circuit à l’application visée en programmant des paramètres de composants constituant la chaîne d’acquisition.

Donc, afin de rendre la première solution d’interface programmable réalisable, nous avons besoin d’uniformiser la grandeur du signal de sortie des capteurs. Pour cela, nous allons convertir la grandeur électrique de sortie des différents capteurs. Lorsqu’elle n’est pas une tension, en une tension.

Vu la différence de grandeur issue de capteurs de type actif (tension, courant, charge) et passif (nécessité d’un conditionnement) et dans le but de réaliser une interface programmable ou reconfiguration pour différents types de capteurs, nous avons uniformisé les signaux issus des différent capteurs en utilisant un convertisseur grandeur électrique / tension. Pour les capteurs passifs, on effectue d’abord un conditionnement du signal, ensuite il est converti en une tension. Pour les capteurs actifs, on effectue directement uns conversion du signal en tension.

2. un bloc de traitement numérique : assure le stockage des données ou des paramètres, il fournit des grandeurs de référence, Il est programmable (microprocesseur) ou reconfigurable (FPGA). Il permet aussi d’ajuster certains paramètres de la chaîne d’acquisition tels que le gain de l’amplificateur et la fréquence de coupure des filtres en fonction des signaux mesurés.

3. un bloc AGP et un filtre : le bloc AGP est un amplificateur à gain programmable dont le rôle est d’amplifier le signal de faible intensité pour exploiter la dynamique du convertisseur et d’ajuster le niveau DC du signal à mesurer. A sa sortie un filtre a pour rôle d’atténuer les distorsions produites par l’amplificateur dans la

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 153

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

bande passante, et hors bande afin d’éviter le repliement de spectre lors de l’échantillonnage. Il est reconfigurable de telle sorte que l’on puisse choisir entre un filtre passe bas, passe haut et passe bande, et programmable en fonction du type de signal à mesurer. Pour limiter le traitement du signal dans le domaine analogique (à cause des difficultés de conception des circuits analogiques, présentés au troisième chapitre), nous proposons de relâcher les contraintes sur les filtres en effectuant un filtrage minimal en temps continu, de sur-échantillonner le signal et de terminer sa mise en forme en temps discret. Pour cela nous proposons une conversion analogique-numérique à capacités commutées. Cette technique à un double avantage : d’une part, elle permet de relâcher les contraintes sur les filtres et d’autre part, elle facilite la programmation (par la technique des capacités par unités de surface).

4. un bloc CAN : Le convertisseur analogique-numérique a pour rôle de fournir une grandeur numérique. La conversion à modulation Sigma-Delta est intéressante du fait des compromis intrinsèques entre largeur de bande du signal et rapport signal sur bruit (résolution). En conséquence, il est possible de faire varier le taux de sur-échantillonnage, donc la fréquence d’échantillonnage, pour parvenir au meilleur compromis entre résolution et consommation pour une application donnée. Ceci nous permet de rendre la conversion programmable.

5. Un filtrage numérique : et une décimation sont nécessaires après modulation Sigma-Delta. Le filtrage numérique est nécessaire pour éliminer le bruit de quantification hors bande avant décimation. Une intégration sur FPGA est adaptée pour le rendre reconfigurable, ce qui va nous permettre d’envisager plusieurs applications. Sa reconfiguration est rendue automatique par le développement d’un programme.

L’inconvénient majeur de cette approche, est la perte de résolution occasionnée par le bruit des différents constituants de la chaîne de traitement.

Afin de s’affranchir de tous ces problèmes, et comme dans toute interface capteur il y a un convertisseur analogique-numérique, dans la deuxième architecture étudiée nous avons décidé d’intégrer le capteur dans la chaîne de conversion, plus précisément dans le convertisseur analogique- numérique qui, dans notre cas, est de type Sigma-Delta.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 154

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

4.3.2. Deuxième architecture : intégration de capteur dans la chaîne de mesure

L’interface que nous allons proposer est basée sur le convertisseur Sigma- Delta. Dans ce qui suit, nous allons voir comment rendre l’interface re-configurable en modifiant la structure du modulateur, et plus précisément celle de l’intégrateur qui le constitue et comment rendre l’interface programmable en terme de résolution, de bande passante du signal, de fréquence d’échantillonnage et de consommation.

Avant de présenter l’interface, nous rappelons que le convertisseur Sigma-Delta est constitué d’un modulateur et d’un filtre numérique Figure 4.54.

Figure 4.54. Convertisseur Sigma Delta

Le modulateur comporte un intégrateur et un comparateur. En regardant

son schéma fonctionnel Figure 4.55, nous pouvons constater que l’intégrateur peut avoir plusieurs structures, il peut être de type continu ou discret, il peut être de type passif ou actif et chaque structure possède plusieurs architectures. Ces différentes possibilités de réalisation d’un intégrateur nous ont laissé penser que le choix d’une possibilité de réalisation peut être fait en fonction du type de capteur â intégrer dans la chaîne de conversion.

Figure 4.55. Exemple de réalisation du modulateur

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 155

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

Pour tirer pleinement avantage du sigma delta, la deuxième solution consiste à intégrer le capteur directement dans la boucle du sigma delta. Cela permet de réduire la taille des circuits et en conséquence la consommation, mais aussi de s’affranchir du bruit de la chaîne de traitement (multiplexeur, amplificateur, filtre). L’intégration du capteur dans la structure de l’interface dépend de la nature du capteur. Par exemple, les capteurs résistifs peuvent servir de résistance pour l’intégrateur d’entrée du convertisseur. Cependant, la technique des capacités commutées ou des courants commutés de plus en plus utilisée sur les architectures sigma delta, encourage l’usage de capteurs capacitifs. En effet, pour tous les capteurs capacitifs (pression, humidité, position, gaz . . .) la meilleure intégration possible consiste à utiliser le capteur, ainsi qu’une capacité de référence pour les capacités d’entrée, de l’intégrateur d’entrée du modulateur. Le capteur devient alors une partie active du modulateur (Figure 4.56).

Figure 4.56. Deuxième approche pour Interface capteur universelle

L’interface présentée par la Figure 4.57 est constituée de deux blocs : un bloc de conversion reconfigurable et programmable, et un bloc de traitement numérique.

Figure 4.57. Schéma blocs d’une interface pour l’acquisition de signaux issus de différents capteurs à base de convertisseur analogique-numérique de type Sigma- Delta.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 156

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

Le bloc de traitement numérique va permettre d’effectuer la programmation des paramètres (comme l’horloge, les tensions de référence, etc.) au niveau physique. Le bloc de conversion permet de convertir la grandeur électrique

en un signal numérique. En intégrant le capteur dans le bloc conversion nous nous sommes affranchis des inconvénients de la première architecture, puisque tous les circuits en amont du convertisseur (conditionneur, amplificateur, filtre) ont été supprimés. Pour cela deux points principaux ont été pris en compte :

D’abord, dans le cas d’une structure à capacités commutées, l’architecture de l’interface doit prévoir un filtre anti repliement pour une entrée en tension ou en courant. Dans le cas d’une structure continue ou d’un capteur délivrant une charge, ce filtre est désactivé.

Ensuite, l’interface est rendue reconfigurable en modifiant la structure de l’intégrateur. Il est important de comprendre que le capteur est intégré dans la boucle du premier étage du Sigma-Delta. De ce fait, seule la structure de l’intégrateur du premier étage est modifiée selon le type de capteur à interfacer. Le paragraphe suivant illustre le principe de reconfiguration pour différents capteurs.

Nous avons proposé une première architecture qui consiste à convertir les signaux issus de capteurs en tension, puis à rendre l’interface programmable, en utilisant par exemple la technique des capacités commutées. Cette architecture présente des inconvénients. D’abord, elle ne permet pas d’avoir une architecture totalement générique, et elle nécessite de fixer les bornes des paramètres à programmer pour une étendue de mesure bien définie. Ensuite, la résolution du convertisseur en aval de la chaîne d’amplification impose une contrainte cruciale sur l’amplificateur en termes de bruit. Enfin les circuits en amont du convertisseur introduisent un bruit supplémentaire venant s’ajouter au signal à mesurer, ce facteur réduit la précision de mesure (résolution).

La deuxième architecture vient remédier aux inconvénients de la première en supprimant les circuits de conditionnement du signal et en introduisant le capteur dans la chaîne de conversion. Ceci est rendu possible en effectuant une conversion de type Sigma-Delta, qui peut être réalisée en continu ou en discret.

4.4. Le convertisseur sigma-delta A la différence des autres techniques de conversion, le convertisseur Sigma-Delta ne code pas la valeur de l’échantillon mais la différence entre deux échantillons successifs. Ceci est réalisé à l’aide d’un convertisseur grossier, souvent ce n’est

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 157

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

qu’un comparateur. Le signal est reconstitué par simple intégration. (Schéma de principe Figure 4.57).

Mots ques

fréquence de N n

numérisur X bits à la

equist-Shanno

Entrée analogique

Modulateur à sur échantillonnage

Filtre numérique

Signal numérique

Figure 4.58. Schéma de principe de convertisseur Sigma-delta La tension de sortie se présente sous la forme d’une suite de bits avec un

débit de Fe bits/s, au lieu d’une suite d’échantillons analogiques. Autrement dit la tension analogique est modulée en largeur d’impulsions avec un niveau de sortie binaire.

A la sortie du modulateur le filtre numérique a pour rôle de remplacer la suite de bits cadencés à la fréquence Fs par une suite de mots de n bits cadencés à la fréquence Fs/D (fréquence de Shannon) formant le signal de sortie. On obtient ainsi un CAN de n bits par un calcul numérique. Cette opération est appelée « décimation ».

Nous présentons dans ce qui suit les principes de base de cette technique de conversion pour en comprendre le fonctionnement, et expliquer le choix de cette architecture [STE08].

L’architecture du composant, est relativement simple (Figure 4.57). Un convertisseur sigma delta est constitué d’une section analogique et d’une section numérique. La partie analogique est composée d’un comparateur, d’un commutateur, d’un ou plusieurs intégrateurs et sommateurs, et constitue le modulateur sigma delta. Ce modulateur a pour rôle de générer un flux binaire dont la proportion de 0 et de 1 est représentative de l’amplitude du signal d’entrée. Plus le signal V(t) est proche de la pleine échelle, et plus la proportion de 1 sera importante.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 158

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

La section numérique, quant à elle, est constituée de deux sous-parties : le filtre numérique et le décimateur. Le rôle de ce module est de filtrer le flux binaire, et de réduire la cadence d’échantillonnage en sortie (tout en augmentant la résolution).

En reconfigurant simplement la structure de l’intégrateur, nous pouvons interfacer plusieurs types de capteurs. Du fait des compromis intrinsèques du convertisseur de type Sigma-Delta, entre largeur de bande du signal et rapport signal sur bruit (résolution du convertisseur) nous pouvons rendre l’interface programmable, puisqu’il est possible de faire varier la fréquence d’échantillonnage pour parvenir au meilleur compromis entre résolution et consommation pour une application donnée.

Le convertisseur de type sigma-delta se compose d’un modulateur qui convertit le signal analogique à son entrée en une sortie numérique codée sur un faible nombre de bits émis à grande vitesse. Il est généralement suivi d’un filtre numérique qui réduit la fréquence d’échantillonnage, augmente la taille des mots transmis à la résolution du modulateur et élimine le bruit hors de la bande passante.

Seule l’architecture d’un convertisseur sigma delta permet d’interfacer tout type de capteur sans passer par un conditionneur puisque le capteur constitue l’élément actif de la chaîne de conversion. Elle tire directement profit des différentes possibilités de réaliser le convertisseur.

Nous allons étudier le principe de fonctionnement du modulateur Sigma Delta, en se focalisant principalement sur le modulateur de type passe bas à capacité commutées.

4.4.1. Le modulateur sigma-delta

La conversion Sigma-Delta est actuellement la technique la plus répandue dans le domaine de la conversion analogique-numérique pour les applications haute résolution et faible bande passante (CD/DVD Audio, Instrumentation,...). Les modulateurs Sigma-Delta sont essentiellement composés d'intégrateurs, d'un quantificateur et d'un convertisseur numérique-analogique. En utilisant les principes de la mise en forme du bruit de quantification, le sur échantillonnage et le filtrage numérique, il est possible d'obtenir N bits de résolution [ASI07].

4.4.2. Le principe de fonctionnement du modulateur Sigma delta

Le principe de fonctionnement du modulateur Sigma delta est basé sur le principe du sur-échantillonnage, qui permet d’obtenir des résolutions importantes pour un coût relativement faible.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 159

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

Cependant ce sur échantillonnage est limité par la technologie, car en réalité nous ne pouvons pas augmenter la précision en nous contentons de sur-échantillonner. Il faut séparer le bruit de quantification du signal utile et le repousser (vers les hautes fréquences) en dehors de la bande utile du signal et atteindre une très bonne résolution avec un faible coût, c’est le rôle de la modulation sigma-delta.

La modulation sigma delta consiste à coder les variations du signal d’entrée Ve par une suite d’impulsion binaires (Vs=0 ou1).

Pour que le codage s’effectue correctement, il faut que la fréquence d’échantillonnage soit assez élevée pour tenir en compte des variations les plus rapides du signal d’entrée.

Le modulateur est constitué d’une boucle d’asservissement comprenant un comparateur linéaire (montage soustracteur), qui fournit un signal ε = ∆Ve représentant la différence (DELTA) entre la tension d’entée à l’instant n et cette même tension à l’instant précédant n-1. Le signe de ε est codé par un comparateur binaire qui produit la tension de sortie Vs. Cette tension est à son tour intégrée (SIGMA) pour reconstituer une image de l’échantillon précédant Ve (n-1) afin de calculer : Ve = Ve (n) - Ve (n-1)

Fi

le moain« D

HA

Echantillonnage Signal sinusoïdale

gure 4.59. Modélisation du modulateur sigma delta Remarque : l’ordre du modulateur Sigma-delta détermine le nombre d’intégrateurs qui le compose. A la sortie du convertisseur nous avons besoin d’un filtre numérique dont

rôle est de remplacer la suite de bits cadencés à la fréquence Fe par une suite de ts de n bits cadencés à la fréquence Fe/n formant le signal de sortie. On obtient si un CAN de n bits par un calcul numérique. Cette opération est appelée écimation » [BAI96].

MOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 160

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

Les meilleures performances sont obtenues lorsque le capteur est intégré directement dans le modulateur et joue un rôle actif, c’est le point le plus fort du convertisseur sigma delta.

4.4.2.1. Modulateur Sigma-delta d’ordre 1 Dans un modulateur Sigma-delta 1er ordre, l’intégration simple de la différence entre le signal et le bruit de quantification, met en forme le bruit en repoussant la plus grande partie de son énergie hors de la bande passante.

Pour un signal d’entrée 4v, une fréquence 10Hz et une fréquence d’échantillonnage 10KHz et un signal de sortie numérique entre 0 et 1, on obtient :

mod1.s_out5

Figur

modull'échamodulsimulatemps[ASI0

prédic

HAMOU

mod1.vin

t [s] -4

0

-3

-2

-1

1

2

3

4

0 0.110m 20m 30m 40m 50m 60m 70m 80m 90m

e 4.60 . Résultat de simulation 1er ordre temps-continu L'utilisation d'un système temps-continu dans la réalisation des

ateurs Sigma-Delta permet de s'affranchir de plusieurs problèmes liés à ntillonnage haute fréquence. Malheureusement, le temps de simulation des ateurs Sigma-Delta temps-continu est très important par rapport au temps de tion des modulateurs temps-discret. Ceci augmente considérablement le

nécessaire pour la conception des modulateurs Sigma-Delta temps-continu 7].

La figure suivante représente un modulateur sigma-delta utilisant une tion linéaire d’ordre 1 en temps discret :

I Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 161

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

vin1

clock

t_in

ech

E1

CLK

clk1

_+

sign2INPUT[0]

INPUT[1]

G(z)

gz1

Figure 4.61 Schématique de modulateur SD 1er ordre temps discret

Pour un signal d’entrée 4v, une fréquence 10Hz et une fréquence

d’échantillonnage 10KHz et un signal de sortie numérique entre -5 et 5, on obtient:

sign2.val 6

Figu

cara(signsignquan

4.4. Biendifférepoaugmen fo

HAMO

sheet1.vin

t [s] -6

0

-4

-2

2

4

0 0.110m 20m 30m 40m 50m 60m 70m 80m 90m

re 4.62 . Résultat de simulation 1er ordre temps-discret Le modulateur sigma delta du premier ordre est très sensible aux

ctéristiques des éléments qui le composent. Ses défauts majeurs sont un rapport al/bruit) peu important, mais surtout un mauvais comportement face aux

aux faiblement variables, dû à la corrélation non nulle entre le bruit de tification et le signal d’entrée. [GAL00].

2.2. Modulateur Sigma delta d’ordre 2

que dans un modulateur Sigma-delta 1er ordre, l’intégration simple de la rence entre le signal et le bruit de quantification met en forme le bruit en ussant la plus grande partie de son énergie hors de la bande passante. Alors, enter l’ordre du modulateur (donc le nombre d’intégrateurs) assure une mise rme du bruit encore plus efficace donc une résolution plus importante.

UI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 162

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

De plus le modulateur 2ème ordre ne présente pas de mauvais comportement face aux signaux continus.

Il existe plusieurs implantations possibles d’un modulateur sigma-delta 2ème ordre en fonction de l’organisation des différents blocs, la figure suivante n’en est qu’une représentation :

CLK

_+G(z)

E1

clk1vin1

clock

t_in

echantilloneG(z)

num[0] :=

den[0] :=

num[1] := 0

den[1] := -

num[0] :=

den[0] :=

num[1] := 0

den[1] := -

GAIN GAIN

KP := 0.5KP := 0.5

Fi

sud’

écce

pa

fai

HA

sign2.val E1.EMF [V

t [s]

6

-6

0

-4

-2

2

4

0 0.110m 20m 30m 40m 50m 60m 70m 80m 90m

gure 4.63. Résultat de simulation 2ème ordre temps-discret La sortie, Figure 4.63, est une suite de 0 et de 1 à hautes fréquences. Cette

ite peut être facilement convertie en ne conservant qu’un nombre restreint échantillons, après filtrage numérique. Cette opération est la « décimation ».

Une façon simple de procéder consiste à prendre la moyenne de n hantillons à une fréquence f, n fois plus faible que la fréquence de modulation. Si tte moyenne peut prendre 2n valeurs, on aura une sortie sur n bits.

Pour récupérer le signal d’entrée et pour éliminer le bruit hors de la bande ssante, il faut appliquer le signal de sortie du modulateur au filtre de décimation.

La sortie du modulateur sigma delta est un signal numérique codé sur un ble nombre de bits (un ou deux) mais à fréquence élevée qui représente le signal

MOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 163

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

d’entrée. Il est donc nécessaire d'utiliser un (ou des) dispositif(s) de filtrage qui peuvent être de type passif ou actif, analogique ou numérique [BAI96].

Le filtre numérique de sortie permet de supprimer le bruit de quantification de haute fréquence et d’atténuer les composants hors bande du signal d’entrée. En plus il re-échantillonne le signal à la fréquence de Nyquist, pour fournir en sortie un signal codé sur le nombre de bits effectifs correspondant à la résolution du convertisseur à la fréquence de Nyquist.

Ce filtre est en général de type FIR (Filtre à Réponse Impulsionnelle Finie) à phase linéaire .Son gabarit est déduit des contraintes d’anti repliement.

Remarque : le niveau du bruit de quantification augmente vers les hautes fréquences. Cette augmentation lente du bruit peut s’éliminer par des filtres numériques simples mais pour l’atténuer. Il a été démontré que l’ordre de filtre optimum pour un modulateur Sigma-Delta d’ordre L est d’ordre L+1 [BAI96]. On obtient avec le modulateur Sigma-delta 1er ordre et un filtre 2ème

ordre le signal suivant :

cic2r32_v6_filter1.y_out1 1k

Figur

sigmaimper

imper

HAMOU

t [s] -1k

0

-0.75k

-0.5k

-0.25k

0.25k

0.5k

0.75k

0 0.1120m 40m 60m 80m 0.1

e 4.64. Signal de sortie du filtre

Tous les résultats précédents présentent la simulation de modulateur -delta aux différents ordres mais dans le cas idéal c’est-à- dire sans fections.

Pour moduler un convertisseur plus proche du cas réel on va étudier les fections principales du convertisseur Sigma-Delta.

I Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 164

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

4.4.3. Les imperfections

Les modulateurs sigma-delta sont les plus appropriés pour numériser les signaux analogiques à haute résolution caractérisés par une largeur de bande beaucoup plus petite que la fréquence d’échantillonnage.

Des modulateurs sigma-delta peuvent être mis en application avec du temps-continu ou avec des techniques d’échantillon données. L'approche la plus populaire est basée sur la deuxième technique avec l'exécution de capacité-commuté (SC: Switched-Capacitor).

En fait, des modulateurs sigma-delta peuvent être efficacement réalisés en technologie standard CMOS et être inclus dans des systèmes complets à signal mixte sans aucune dégradation des performances. Ces dernières sont essentiellement déterminées par les performances des blocs (briques) analogiques dont les spécifications sont conditionnées par l’architecture sélectionnée. C’est pourquoi il est particulièrement important de choisir, si possible, la meilleure architecture qui relâche les spécifications sur les blocs analogiques de base pour permettre aux performances finales d’être plus facilement atteintes. Il existe de nombreuses façons de mettre en œuvre un modulateur Sigma-Delta. Un modulateur Sigma-Delta peut être classé dans huit catégories différentes. À savoir, l’architecture temps discret (DT), l’architecture temps continu (CT), l’architecture en cascade, l’architecture avec une seule boucle, l’architecture avec un seul bit, l’architecture multi bits, l’architecture Feed Forward (FF), et l’architecture avec rétroaction (FB) [VAC02].

Dans notre application l’architecture du modulateur sélectionné est de type DT avec une seule boucle et un seul bit de quantification.

A la conception d'un modulateur sigma-delta à capacités-commutées de rendement élevé, deux principales questions doivent être posées aux concepteurs :

a. Quelle est la meilleure architecture pour remplir les conditions de l'application ?

b. Pour une architecture donnée, quelles sont les conditions pour la réalisation des modules?

En pratique, le problème significatif dans la conception du modulateur sigma-delta est l'évaluation de leurs performances, puisqu'ils sont les circuits non-linéaires de signal mixte.

En raison de la non-linéarité inséparable du modulateur sigma-delta, l'optimisation des performances doit être effectuée avec des simulations comportementales des domaines temporels. Cette situation devient difficile à manipuler quand on considère les hautes performances du système.

Afin de répondre aux hautes performances demandées, une simulation précise d’un nombre d’imperfections et éventuellement une comparaison des

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 165

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

performances de différentes architectures sont nécessaires afin de choisir la meilleure solution.

Le schéma d’un modulateur Sigma-Delta (SC) du premier ordre est représenté dans la Figure 4.65 est constitué d’un intégrateur (SC), un quantificateur (comparateur) et un convertisseur numérique analogique (CP’L4), qui constitue la boucle de retour.

Cf

Csr

Cs

t1

X1

X2

t2

+

-+

-D

DIFF1

t1

t1

t2

t2

SC integrateur

Figure 4.65. Schéma d'un modulateur sigma-delta capacités-commutées de premier ordre

Les non-idéalités de ce modulateur sont principalement les erreurs liées à l’échantillonnage (telles que la gigue), aux bruits de l’intégrateur (tels que le bruit thermique dans les commutateurs et les bruits générés par l’amplificateur) [MAL03] :

Erreurs dues (incertitude) à l’échantillonnage (Clock Jitter) Bruit thermique et bruit en 1/f (Fliker) Les non idéalités liées à l’intégrateur qui sont causées par les

paramètres finis de l’amplificateur (gain fini, bande passante limitée, Slew-Rate et tensions de saturation). Enfin, il y a celles liées au comparateur et celles liées au

convertisseur CIVA de la boucle de retour. Il est important de mentionner que l’impact de ces erreurs est différent suivant la topologie mise en œuvre.

Nous allons présenter l’impact des erreurs citées précédemment sur les performances d’un modulateur Sigma-Delta.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 166

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

4.4.3.1. Clock jitter Le fonctionnement de circuit capacité-commuté SC dépend des transferts complets de charge pendant chaque phase d’horloge. Il est important de noter que quand un signal analogique est échantillonné, la variation de la période d’échantillonnage n’a pas un effet direct sur l’exécution et les performances de circuit. Par conséquent, la gigue d’horloge est introduite uniquement par l’échantillonnage du signal

Donc, l’effet de « clock jitter » sur ce circuit est complètement décrit en calculant son effet sur l’échantillon du signal d’entrée, ceci signifie également que l’effet de cette imperfection sur un modulateur sigma-delta est indépendant de la structure ou l’ordre de ce modulateur.

Le « clock jitter » a comme conséquence une déformation dans le temps d’échantillonnage et il produit une erreur qui augmente l’erreur globale à la sortie de la quantification.

Cette erreur est présentée par la différence entre l’échantillon du signal sinusoïdal X(t), qui a comme amplitude A et fréquence fin, à l’instant t et l’échantillon à l’instant t plus un laps de temps δ due essentiellement à l’imperfection de l’horloge, cela peut se résumer par l’équation suivante :

)()2cos(2)()( tXdtdtfAftXtX inin δπδπδ ==−+

Cet effet peut être simulé au niveau comportemental en employant le modèle montré dans la figure suivante :

deriv

derif1

mul1

sum

sum1

CLK

Y (t)

x(t)

∆ζ

Figur

HAMOU

n(t)

RANDOM

random1

GAIN

gain1vin1

clock

INPUT[0]

echantionneur1

e 4.66. Modèle d’une clock jitter d’échantillonnage aléatoire

I Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 167

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

Le signal d’entrée x(t) et sa dérivée d/dt sont des signaux à temps continu, ils sont échantillonnés avec la période Ts d’échantillonnage par le block « échantillonneur/bloqueur ».

La figure suivante montre l’influence de cette erreur sur les performances d’un convertisseur Sigma Delta pour une fréquence du signal d’entrée =100 KHz.

Figure 4.67. Effet de l’erreur «Jitters » sur la DSP d’un modulateur Sigma-Delta à f= 100KHz

Nous pouvons constater que, lorsque l’erreur de gigue augmente, la puissance de bruit totale à la sortie du quantificateur augmente. Pour la même valeur d’incertitude, une fréquence de signal d’entrée élevée introduit une puissance de bruit plus importante. Pour la même erreur, un signal dix fois plus important en fréquence implique une chute de la densité spectrale de 20dB. De ce fait un compromis doit être fait entre une erreur élevée et une fréquence élevée.

4.4.3.2. Le bruit de l’amplificateur opérationnel Les sources de bruit les plus importantes affectant l'opération d'un modulateur sont le bruit thermique associé aux commutateurs d’échantillonnage et le bruit intrinsèque de l'amplificateur opérationnel.

La figure suivante montre le modèle employé pour simuler l'effet du bruit de l’amplificateur opérationnel

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 168

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

-

+

Xn

Random number Zero-

Order H ld

Vn

+ Z-1 + -

-

Figure 4.68 . Modèle du bruit de l’AOP

Vn représente le bruit de l'amplificateur opérationnel qui est rapporté à

l'entrée d'intégrateur. Dans ce modèle nous avons considéré seulement le bruit thermique tandis

que le bruit de Flicker (1/f) et l’offset sont négligés. L’effet de ce bruit sur le convertisseur Sigma-Delta est donné par la

Figure 4.69. Elle présente la densité spectrale du modulateur Sigma-Delta avec et sans bruit généré par l’amplificateur.

Figure 4.69. Effet du bruit de l’amplificateur sur la DSP d’un modulateur Sigma- -Delta

Nous constatons que le niveau de bruit augmente quand le bruit de l’amplificateur augmente et cela dans les basses fréquences.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 169

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

Il est important de noter que le bruit thermique de l’amplificateur est largement inférieur à celui des interrupteurs et que le bruit en 1/f peut être minimisé en utilisant des transistors long, large, ou les deux. Ceci sera utilisé dans la formulation des contraintes lors du dimensionnement de l’amplificateur.

4.4.3.3. Le gain fini de l’AOP Le gain DC de l’intégrateur idéal est infini. Dans la pratique, le gain de l’amplificateur opérationnel en boucle ouverte A0 est fini. Ceci se traduit par le fait qu’une fraction de l’échantillon précédent à la sortie de l’intégrateur est ajoutée à l’échantillon d’entrée.

La conséquence de cette imperfection est que seulement une fraction α du rendement précédent de l'intégrateur est ajoutée à chaque nouvel échantillon d'entrée.

Le gain limité de l'intégrateur augmente le bruit in-bande, la fonction de transfert de l'intégrateur avec le gain fini devient :

1

1

1)( −

−=

ZZZHα

gz1.val 20

Par conséquence, pour obtention au gain fini de valeur A0=10-2, il faut

appliquer α = 0.99, comme valeur de dénominateur de l’intégrateur. Dans la figure suivante on voit la différence entre les signaux de sortie de

l’intégrateur pour un gain fini et infini :

011A−

=αOù

HAMOUI Rim

t [s] -20

0

-15

-10

-5

5

10

15

0 0.1220m 40m 60m 80m 0.1

Gain infini

a / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 170

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

gz1.val

t [s]

25

-25

0

-20

-10

10

20

0 0.1120m 40m 60m 80m

Gain fini Figure 4.70 . Comparaison entre les deux signaux de l’intégrateur

4.4.3.4. La tension de saturation de l’AOP La dynamique des signaux dans un modulateur est un problème important. Il est donc nécessaire de tenir compte des niveaux de saturation de l'amplificateur opérationnel employé ; ceci peut être facilement réalisé juste en introduisant un bloc de saturation à l'intérieur de la boucle de rétroaction de l'intégrateur.

Par exemple, dans le cas étudié pour un signal sinusoïdal d’entrée avec une amplitude = 5V et une tension de saturation appliquée à l’amplificateur opérationnel égale à ± 3.5V le signal correspondant est :

Figure 4.71

4.4.3.5. Pour une al’amplificat

HAMOUI Rima /

E1.EMF [V] Sheet1.val

t [s]

5

-5

0

-4

-2

2

4

0 0.110m 20m 30m 40m 50m 60m 70m 80m 90m

. Signal de sortie de la simulation

Slow rate

mplitude constante donnée, il caractérise la limite en fréquence de eur (vitesse maximale).

Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 171

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

Lorsqu’un signal évolue plus lentement que cette vitesse maximale, l’amplificateur suit et restitue fidèlement le signal. Mais lorsque la fréquence du signal augmente (pour une amplitude constante), l’amplificateur déforme le signal de sortie (triangulation). Dans ce cas, en plus du signal d’origine, on retrouve des fréquences supplémentaires (harmoniques), plus la fréquence est élevée, plus l’amplificateur a du mal à restituer le signal fidèlement,

Pour que l’amplificateur réponde linéairement, on définit généralement une fréquence maximale au dessus de laquelle l’amplificateur déformera le signal de sortie.

4.4.3.6. Bruit thermique Selon le théorème de Nyquist la densité spectrale de bruit aux bornes d’un dipôle passif bruyant ne dépend que de la température et de la partie réelle de l’impédance du dipôle. Dans un intégrateur à capacités commutées les commutateurs fonctionnent en région ohmique, la puissance de bruit à leurs bornes est égale à:

fKTRffE Eeff ∆=∆= 4)(2 γK est la constante de Boltzmann (K=1.38.10-23 JK’) et T la température

absolue en Kelvin. Le bruit dû au commutateur sur les phases P et I est donné par les équations suivantes:

rsthI C

KTCKTV +=2

rs

r

sthP C

KTCC

CKTV *)( 22 +=

Ces équations montrent que si l’on souhaite réduire la puissance du bruit thermique, il faut augmenter la valeur de la capacité d’échantillonnage Cs.

Le résultat de simulation obtenu par Mme Fellah pour différentes valeurs de capacité d’échantillonnage (Figure 4.72) montre que le niveau de bruit dans la bande utile augmente avec le bruit thermique (il augmente quand la valeur de la capacité d’échantillonnage diminue).

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 172

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

Figure 4.72. Effet du bruit KT/C sur le DSP d’un modulateur Sigma Delta

Donc, si l’on veut réduire le niveau de bruit dans la bande utile il faut

augmenter la valeur de la capacité d’échantillonnage. Or, une valeur importante de la capacité se traduit par un accroissement de la consommation pour une fréquence donnée du signal. Pour cette raison, lors de la conception, un compromis doit être fait.

4.5. Microsystème entier Les systèmes entiers sont des systèmes où le capteur est directement intégré dans le modulateur ce qui a pour effet de fortement augmenter le niveau des performances. De part l’adéquation de la conception des modulateurs sigma-delta avec la technique des capacités commutées, cette architecture est largement privilégiée dans le cadre des interfaces des capteurs capacitifs.

La figure suivante donne un exemple de l’utilisation d’un modulateur sigma delta. La meilleure intégration possible consiste à utiliser un capteur capacitif couplé à une capacité de référence au niveau du premier étage du modulateur. Le capteur devient alors une partie active du système de mesure.

Pour réaliser ce microsystème on va appliquer la variation de la capacité de capteur d’humidité en fonction de temps (c’est un signal sinusoïdal C(t)) comme la variation du gain de l’intégrateur dans le modulateur sigma- delta avec les imperfections.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 173

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

Ech +

C (t)

Intégrateur Comparateur

Filtre

Signal

d’entrée

Figure 4.73. Modèle du circuit de simulation d’un système complet Dans cette optique, on va commencer par la modélisation du capteur

d’humidité en intégrant son signal de sortie dans l’intégrateur du modulateur sigma delta. Le signal de sortie après le filtre est présenté dans la Figure 4.72.

Figu

4.6.

L’ouconc

HAMO

re 4.74. Signal de sortie de microsystème

Application de la synthèse analogique : sous bloc AOP de l’intégrateur du SD

til développé pour la synthèse analogique automatisée s’inscrit dans un flot de eption descendant, mais peut indifféremment être utilisé pour des blocs

UI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 174

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

assurant des fonctions analogiques simples. Dans notre cas, pour le convertisseur analogique numérique de type sigma delta, le niveau de décomposition reste assez élevé comme l’indique la Figure 4.75. Néanmoins, le choix de cette limite de décomposition n’est pas évident, et il repose essentiellement sur la capacité à propager des contraintes électriques d’un circuit, ou un système, vers des sous blocs.

Ainsi dans la suite du manuscrit nous traiterons le cas de la synthèse d’un amplificateur opérationnel. Il faut néanmoins préciser que la simplicité apparente de la structure utilisée pour les tests se justifie à deux égards :

D’abord nous fondons notre travail sur l’approche de conception descendante, ce qui implique que tout problème de synthèse est décomposé en sous problèmes. Chaque nouveau problème de synthèse hérite alors des spécifications d’un niveau hiérarchique antérieur. En outre, nous travaillons sur des architectures en vue de leur réutilisation. Ces architectures sont donc connues, et l’objectif est de pouvoir les concevoir de nouveau, avec de nouvelles spécifications, en accélérant leur synthèse.

Nous souhaitons dans cette partie illustrer l’efficacité de la méthode

développée, et relever ses limites. Nous proposons tout d’abord de reprendre l’exemple de la synthèse du système entamé au début du chapitre. Nous reprendrons donc le cas de la synthèse de l’intégrateur du modulateur, à partir des spécifications définies lors de la première étape d’optimisation.

Dans un deuxième temps, nous travaillerons sur la synthèse de l’amplificateur (en conservant la même architecture) pour évaluer les forces et les faiblesses des outils développés. L’objectif est de mettre en évidence que nos outils peuvent s’intégrer à la fois dans un flot de conception descendant, ou être utilisés directement pour la synthèse d’un bloc donné, pour la réalisation d’une fonction analogique.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 175

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

Convertisseur Sigma Delta Niveau systèmes

Modulateur Sigma Delta Filtre Numérique

Comparateur Intégrateur

Amplificateur opérationnel AOP

Switches

AOP-N AOP-P Niveau composants

Niveau blocs

Niveau sous-blocs

Figure 4.75. Schéma de principe du modulateur Sigma Delta

Après le choix du composant (ex : AOP-P ou AOP-N) l’utilisateur fait entrer les paramètres de conception, de performance et de réglage. (Figure 4.76).

Pour conditionner un problème de synthèse de circuits analogiques avec notre interface graphique expliquée dans le troisième chapitre, on va prendre comme exemple l’amplificateur opérationnel de l’intégrateur du Sigma Delta, il faut au minimum cinq étapes :

1- Le choix de la topologie. L’amplificateur à deux étages que nous étudions existe sous deux formes différentes (entrée différentielle de type P ou de type N). Nous travaillerons dans notre cas sur l’architecture à entrée différentielle de type P. La Figure 4.76, indique les choix actuellement possibles dans l’interface graphique.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 176

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

Figure 4.76. Spécification niveau composant et configuration

2- L’initialisation des paramètres. Pour l’amplificateur, nous allons définir les variables et les initialiser (Figure 4.76). Pour cela, nous définissons les limites des valeurs pouvant être prises par chacune d’elle, ainsi qu’une valeur initiale. Cette dernière est calculée à l’aide d’un plan de conception, mais peut aussi être prise de manière arbitraire suivant la méthode de résolution adoptée

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 177

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

Figure 4.77. Les paramètres de conception

Dans le cas du choix de l’amplificateur type-N, on a 2 possibilités : soit de récupérer la même liste des paramètres de conception de l’AOP-P, ou de créer une autre liste, valeur par valeur, avec le bouton « Ajouter ».Figure 4.78.

Figure 4.78. L’AOP-N

3- Le choix de la méthode de résolution : nous travaillons avec la plateforme MATLAB, qui dispose de différents types d’algorithmes (algorithmes locaux et globaux). Nous avons développé un programme qui permet d’utiliser conjointement plusieurs algorithmes.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 178

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

Comme l’indique la Figure 4.78, l’utilisateur peut utiliser sept méthodes de résolution différentes.

Figure 4.79. Paramètres de réglage-Choix de la méthode de résolution

4- La définition des spécifications. Le but de cette première série de tests est de mettre en évidence l’aptitude de la méthode hybride que nous proposons, à converger vers une solution. Tout d’abord nous fixons les spécifications pour un amplificateur donné. Toute spécification incluse dans l’évaluateur de performances peut être définie comme une contrainte, un objectif, ou indifférente (au sens où elle n’est pas prise en compte dans le problème), comme l’illustre la Figure 4.80. Donc pour pouvoir prendre en compte de nouvelles grandeurs dans un problème de synthèse, il faut être capable d’en définir une expression, excepté si l’on souhaite évaluer cette grandeur directement à partir d’une simulation électrique.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 179

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

Figure 4.80 Les paramètres de performances

5- La répartition des objectifs et contraintes.

Figure 4.81 Le choix de type d’optimisation

Nous partirons sur ce minimum de cinq étapes, car les différents modèles nécessaires à l’évaluation des performances d’une architecture donnée (celle de l’amplificateur dans notre cas) sont disponibles.

Après la phase d’initialisation, l’activation du bouton « SetVariable » transmet à Matlab les paramètres « vecteur par vecteur », mais l’exécution de l’algorithme d’optimisation qui calcule les valeurs estimés pour les paramètres de la liste et les affiche sur l’interface sera en activant le bouton « GetVariable ». Mais il ne faut pas demander de récupérer les résultats sans exécuter le programme Matlab de l’optimisation

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 180

Chapitre 4 : Mise en œuvre sur un capteur d’humidité

4.7. Conclusion Les travaux réalisés au sein de l’équipe de conception INL (à CPE) ont pour objectif de réaliser une interface capteur universelle. L’interface capteur repose sur les convertisseurs analogiques numériques à base de sigma delta. Ainsi, nous avons appliqué le concept de la méthode descendante en nous basant sur le modulateur sigma delta.

Ce chapitre nous a permis de mettre en œuvre la plateforme de la méthode de conception, qui s’appuie sur deux concepts primordiaux. D’abord, le générateur automatique d’un modèle de capteur en VHDL-AMS. Ensuite, le partitionnement des systèmes et leur synthèse avec une approche descendante. Cette méthode permet de spécifier des performances pour des blocs constituant un système, depuis le niveau hiérarchique le plus élevé.

Le processus d’optimisation est implémenté en couplage avec la

plateforme de simulation CADENCE, pour valider les jeux de solution d’un bloc, au niveau électrique. Le couplage permet l’échange automatique des données entre MATLAB et CADENCE à différents stades du processus d’optimisation. A cette fin, les simulations réalisées sous CADENCE ont été automatisées, et les données pertinentes issues des résultats de simulation extraites automatiquement.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 181

Conclusion générale et perspective

Conclusion générale et perspective

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 182

Conclusion générale et perspective

Conclusion générale et perspective

Contexte et objectif Rappelons les deux grands axes suivis dans le but de programmer une plateforme d’aide à la conception de microsystème:

Créer un générateur automatique d’un modèle de capteur ou de

composant électronique en VHDL-AMS, qui permet à une seule personne (un physicien par exemple) de modéliser tout le système sans beaucoup de connaissance en interfaçage ou en modélisation. Seule la connaissance du capteur à interfacer lui est nécessaire. Ces modèles peuvent être ajoutés à une bibliothèque de cellules standards, en vue de la conception et de la simulation d'ensembles intégrés comportant capteurs, circuits de traitement de signaux analogiques et numériques, et actionneurs. Mettre au point une méthode de conception automatique de la

partie analogique d’un microsystème. En particulier, concevoir des architectures de convertisseurs analogiques numériques pour l’interfaçage de capteurs. Nous avons par conséquent orienté notre effort de recherche vers la réalisation d’un composant analogique virtuel et paramétrable.

Pour atteindre ces objectifs, il faut adopter une méthodologie de conception efficace. Ainsi, nous avons pu mettre en évidence la nécessité de concevoir les architectures analogiques avec une méthode descendante, caractérisée par le partitionnement des systèmes. Ce partitionnement permet de décomposer le problème de synthèse en sous problèmes plus faciles à résoudre.

Outre la décomposition hiérarchique, nous avons relevé l’importance de l’élévation du niveau d’abstraction. En effet, celle ci permet de décrire le système avec des modèles comportementaux ou fonctionnels, pour assurer le dimensionnement des sous blocs. Chaque sous bloc est alors traité indépendamment. Cette description modulaire permet en outre de converger vers le concept de composants virtuels, en permettant de concevoir des sous circuits qui pourront être réutilisés dans des systèmes plus grands.

En plus il faut résoudre le problème d’hétérogénéité de système aves des différents simulateurs dans un seul environnement de simulation globale : une simulation multiplateforme reliant différents logiciels de conception (Simplorer,

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 183

Conclusion générale et perspective

Matlab, Cadence) et fonctionnant sous différent système d’exploitation (Windows- Unix).

Concept et perspective Actuellement nous disposons d’un générateur de modèles de capteurs VHDL-AMS. L’interface logicielle a été conçue de manière à respecter les besoins. Il permet à partir de la caractérisation et de la modélisation du capteur d’obtenir son modèle en VHDL-AMS. Il est alors possible d’assimiler ce fichier en tant que propriété intellectuelle (en anglais IP : Intellect Properties). En raison de la diversité des simulateurs VHDL-AMS dont les bibliothèques diffèrent, le fichier généré a l’extension « .vhd » et contient toutes les bibliothèques nécessaires à sa compilation.

L’utilisation de cette interface est intuitive, elle permet d’entrer les informations principales caractérisant le capteur. La transparence est faite par l’intermédiaire du logiciel qui génère à partir des données rentrées par l’utilisateur, le fichier VHDL-AMS complet. Actuellement les simulateurs acceptant le standard VHDL-AMS sont disponibles sous différentes plateformes et sur plusieurs types de machines.

Avec ce logiciel, il est assez aisé de réaliser des modèles en VHDL-AMS de capteur ou de composants électroniques, mais cela uniquement pour des capteurs dit « simples » qui sont représentés par des fonctions simples du type: polynômes, fonction (sinus, tangente, …), exponentielle, etc. Donc les capteurs possédant des hystérésis ou des seuils sont dans ce cas difficile à modéliser par l’intermédiaire de ce générateur. Parce que cela demande une bonne maitriser du langage de modélisation VHDL-AMS.

Dans notre interface, il y a des fonctions qui aident l’utilisateur à générer le code, mais il n’y a pas de possibilité de compiler ce code. Donc, pour l’instant on considère que l’utilisateur rentre correctement les informations demandées. Par la suite, il sera possible de rajouter une structure de contrôle des données entrées par l’utilisateur afin de s’assurer que le modèle généré fonctionnera totalement avec un simulateur VHDL-AMS.

Le modèle généré n’est pas simulable seul, il est nécessaire de l’intégration dans un banc de test (test bench) qui n’est pas généré par le logiciel. Cette fonction pourra être ajoutée afin de pouvoir vérifier l’exactitude du modèle pour les utilisateurs non expérimentés en VHDL-AMS. Ou encore, le logiciel générera également un script pour un logiciel de simulation donné pour faciliter la tâche de l’utilisateur.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 184

Conclusion générale et perspective

Le logiciel ne traite pas seulement le cas de la modélisation du capteur, mais l’extension possible pourrait être la mise en place de l’électronique de traitement pour générer un code VHDL-AMS pour un composant électronique, ce qui demande de suivre la méthodologie de la modélisation, en découpant le composant en plusieurs blocs et l’organisé de façon à pouvoir l’introduire dans le logiciel sans difficulté. Dans ce cas le nom du composant sera le nom d’entité, et les équations des blocs constitueront le corps de l’architecture dans le code VHDL-AMS correspondant.

Dans notre travail, le logiciel est livré avec deux capteurs, l’accéléromètre en peigne capacitif seul sans interfaçage, et le capteur d’humidité avec un convertisseur analogique numérique Sigma Delta.

Les possibilités de modélisation de haut-niveau que permet le VHDL-AMS ne sont pas prises en compte par le logiciel. Cet aspect est tout de même très intéressant, puisque grâce à cela nous avons pu modéliser l'électronique de fonctionnement de capteur sans rentrer dans les détails précis comme le requiert d'autres logiciels de simulation.

Nous avons pu constater que le VHDL-AMS ne permet pas de résoudre l'équation de diffusion ou toutes autres équations différentielles. Pour palier ce problème, il est possible d’utiliser la méthode des éléments finis. Cela permettrait de simuler des modèles plus compliqués dans les microsystèmes. Cette possibilité serait un grand pas en avant pour la plateforme.

D’autre part, nous avons intégré la méthode de conception hiérarchique proposée par notre équipe de recherche, qui permet la synthèse automatique des architectures analogiques associées.

La première difficulté consiste à l’échange de données entre les deux plateformes (Matlab et Cadence) et dans les deux sens. La solution est réalisée de façon simple par l’échange de fichiers. L’utilisation d’un fichier, comme canal de communication, est possible même dans un environnement hétérogène. En effet un fichier peut être lu par n’importe quelle application, quel que soit le système d’exploitation.

Afin d’assurer l’échange correcte des données à l’aide de ce fichier, il est nécessaire de mettre en place un mécanisme de contrôle. Ce dispositif doit coordonner les applications accédant à la ressource partagée que constitue le fichier.

Le deuxième problème, c’était de réaliser une communication directe et transparente entre C++ et Matlab, chose faite par l’utilisation de la bibliothèque « Engine ».

La bibliothèque Engine de MATLAB est un ensemble de routines qui nous permet d'appeler MATLAB dans nos propres programmes, utilisant de ce fait MATLAB comme moteur de calcul. Les programmes de cette librairie de MATLAB sont des programmes C ou Fortran qui communiquent avec un processus

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 185

Conclusion générale et perspective

séparé de MATLAB par l'intermédiaire des pipes (sous UNIX) et par une interface composante du modèle d'objet (COM), sous Windows. Il y a une bibliothèque des fonctions équipées de MATLAB qui nous permet de commencer et finir le processus de MATLAB, d'envoyer des données à et de MATLAB, et d'envoyer des commandes pour traitement sous MATLAB.

A l’aide de cette bibliothèque et le sémaphore de l’échange de donnée entre MATLAB et CADENCE, notre plateforme est capable de dimensionner n’importe quel composant électronique, en utilisant une des méthodes d’optimisation proposées dans notre interface (hybrid1, hybrid2, hybraid3, gen, rand3, direct, Pat) en tenant compte des paramètres de conception ou de spécification de ce composant.

La conception analogique au niveau système reste une partie non intégrée dans notre plateforme et constitue des perspectives à donne à ce travail.

HAMOUI Rima / Thèse en électronique 2009 / Institut National des Sciences Appliquées de Lyon 186

Table de figure Figure 1.1. Multidisciplinarité dans la conception et la réalisation des microsystèmes........................................................................................................10 Figure 1.2. Architecture de base de microsystème...............................................19 Figure 1.3. L’architecture d'un circuit intégré.......................................................20 Figure 1.4. Architecture générale d’un microsystème intelligent.........................21 Figure 1.5. Marché des microsystèmes, répartition du marché selon chaque produit [JUN03] ....................................................................................................22 Figure 1. 6. Schéma de principe d’une biopuce,...................................................25 Figure 1.7. Environnement de synthèse de microsystème .....................................35 Figure 1.8. Structure globale d’un environnement de conception de microsystème...............................................................................................................................38 Figure 1.9. Les différentes étapes de la conception d’un microsystème...............41 Figure 1.10. Représentation schématique du flot de conception multi domaine ..46 Figure 2.11. Structure d’une chaîne d’acquisition ................................................59 Figure 2.12. Modélisation générale d’un capteur ................................................60 Figure 2.13. Capteur de pression ..........................................................................60 Figure 2.14. Structure d’un modèle en VHDL-AMS..........................................63 Figure 2.15. Passage de la modélisation en VHDL-AMS ...................................65 Figure 2.16. L’accéléromètre à peigne capacitif .................................................69 Figure 2.17. Microsystème composant l'accéléromètre .......................................69 Figure 2.18. Décomposition de l'accéléromètre en transducteurs.........................71 Figure 2.19 . Environnement de travail.................................................................77 Figure 2.20. La formalisation de la base de données de capteur ..........................79 Figure 2.21. Fenêtre principale .............................................................................85 Figure 2.22. Interface homme machine du générateur .........................................86 Figure 2.23 . Formulaire de l'équation ..................................................................87 Figure 2.24. Fenêtre permettant de sauvegarder les fichiers.................................88 Figure 2.25 . Ajouter un nouveau composant .......................................................89 Figure 3.26. Méthodologie de conception hiérarchique Top-Down et Bottom-Up...............................................................................................................................97 Figure 3.27. Chaîne générique pour le traitement du signal ...............................101 Figure 3.28. Cycle en « V » applicable aux différents niveaux de décomposition du système............................................................................................................103 Figure 3.29. Le flot de la conception analogique manuelle ................................106 Figure 3.30. Couplage entre simulateur et algorithme d'optimisation ................107

187

Figure 3.31. Une approche typique synthèse analogique et mixte.....................110 Figure 3.32. Outils de conception automatique au niveau système ....................112 Figure 3.33. Diagramme de principe d'automatisation .......................................119 Figure 3.34. Évaluation des performances par Co-simulation Matlab/Cadence.124 Figure 3.35. Spécification niveau composant et configuration...........................127 Figure 3.36 Les paramètres de conception.........................................................128 Figure 3.37. Environnement Matlab de l'application..........................................130 Figure 3.38. Les paramètres de performances ...................................................131 Figure 3.39. Le choix de type d’optimisation ....................................................132 Figure 3.40. Paramètres de réglage.....................................................................133 Figure 4.41. Sélection de convertisseur ..............................................................138 Figure 4.42. Capteur d’humidité capacitif .........................................................139 Figure 4.43 Évolution de la valeur de la capacité en fonction de l'humidité relative RH...........................................................................................................141 Figure 4.44. Comportement en température. ......................................................142 Figure 4.45. L’évolution de l'humidité à travers le diélectrique pour deux instants différents t1 et t2, avec t2>t1 ...............................................................................143 Figure 4.46 : Décomposition du capteur d’humidité en une chaîne de transducteur.............................................................................................................................145 Figure 4. 47. Décomposition du capteur d’humidité en une chaîne de blocs .....146 Figure 4.48. Schéma synoptique du capteur d’humidité.....................................149 Figure 4.49. Variation de l'humidité en fonction de temps .................................149 Figure 4.50. Variation da la capacité en fonction de l'humidité.........................150 Figure 4.51. Variation de la capacité en fonction du temps ................................150 Figure 4.52. Schéma bloc d'une interface générique pour l'acquisition de signaux issus de différents capteurs ..................................................................................151 Figure 4.53. Convertisseur grandeur électrique en tension.................................152 Figure 4.54. Convertisseur Sigma Delta .............................................................155 Figure 4.55. Exemple de réalisation du modulateur ...........................................155 Figure 4.56. Deuxième approche pour Interface capteur universelle .................156 Figure 4.57. Schéma blocs d’une interface pour l’acquisition de signaux issus de différents capteurs à base de convertisseur analogique-numérique de type Sigma- Delta.....................................................................................................................156 Figure 4.58. Schéma de principe de convertisseur Sigma-delta .........................158 Figure 4.59. Modélisation du modulateur sigma delta........................................160 Figure 4.60 . Résultat de simulation 1er ordre temps-continu ............................161 Figure 4.61 Schématique de modulateur SD 1er ordre temps discret..................162 Figure 4.62 . Résultat de simulation 1er ordre temps-discret ............................162

188

Figure 4.63. Résultat de simulation 2ème ordre temps-discret ..........................163

Figure 4.64. Signal de sortie du filtre..................................................................164 Figure 4.65. Schéma d'un modulateur sigma-delta capacités-commutées de premier ordre........................................................................................................166 Figure 4.66. Modèle d’une clock jitter d’échantillonnage aléatoire ..................167 Figure 4.67. Effet de l’erreur «Jitters » sur la DSP d’un modulateur Sigma-Delta à f= 100KHz ...........................................................................................................168 Figure 4.68 . Modèle du bruit de l’AOP ............................................................169 Figure 4.69. Effet du bruit de l’amplificateur sur la DSP d’un modulateur Sigma- -Delta ...................................................................................................................169 Figure 4.70 . Comparaison entre les deux signaux de l’intégrateur....................171 Figure 4.71. Signal de sortie de la simulation....................................................171 Figure 4.72. Effet du bruit KT/C sur le DSP d’un modulateur Sigma Delta ......173 Figure 4.73. Modèle du circuit de simulation d’un système complet .................174 Figure 4.74. Signal de sortie de microsystème...................................................174 Figure 4.75. Schéma de principe du modulateur Sigma Delta............................176 Figure 4.76. Spécification niveau composant et configuration...........................177 Figure 4.77. Les paramètres de conception.........................................................178 Figure 4.78. L’AOP-N.........................................................................................178 Figure 4.79. Paramètres de réglage-Choix de la méthode de résolution.............179 Figure 4.80 Les paramètres de performances ....................................................180 Figure 4.81 Le choix de type d’optimisation .....................................................180

189

190

Les références :

[FEY59] R. P. Feynman. “There’s plenty of room at the bottom”. I MEMS, Vol. 1, No. 1, March 1992. (Transcription d’une allocution donnée par R. P. Feynman), décembre 1959.

[GLE94] J. Gleick. “Le génial professeur Feynman”. Editions Odile Jacob, 1994.

[PET82] K. E. Peterson. “Silicon as a mechanical material”. Froc. IEEE, Vol. 70, pp. 420-457, 1982.

[HON88a] W.H. Ko, M. H. Bao and Y. D. Hong. “Integrated fabricated of polysilicon mechanisms”. IEEE Transaction on Electron Devices, ED-35, 1988.

[GAR94] J. W. Gardner. “Microsensors principles and applications”. John Wiley and Sons Ltd., England, 1994.

[MID00] S. Middelhoek. “Celebration of the tenth transducers Conference: the past, present and future oftransducer research and development”. Sensors andActuators A, Vol. 82, pp. 2-23, 2000.

[How88] R. T. Howe. “Surface micromachining for microsensors and microactuators”. Journal of Vacuum Science Technologies, No. 16, 1988.

[KOV98] G. T. A. Kovacs and al. “Bulk micromachining ofsilicon”. Froc. IEEE, Vol. 86, 1998.

191

[JUD01] J. W. Judy. “Microelectromechanical systems (MEMS): “fabrication, design and applications”. Smart Materials and Structures, No. 10, pp. 1115-1134, 2001.

[WAL01] T. Walsh, R. Payne. “Choosing between integrated and hybrid microsystems”, Micromachine Devices, November 2001.

[ANA] Analog Devices web site : http://www.analog.comltechnology/mems/accelerometers/.

[NEX02] Nexus. “Market analysis for microsystems”. Novembre 2002.

[TUM00a] J. D. Tumer, L. Austin. “Sensors for automotive telematics”, Meas. Sci. Technol., Vol. 11, pp. R58-R79, 2000.

[CAR01] A. Carullo et M. Parvis. “An ultrasonic sensor for distance measurement in automotive Applications”. Vol. 1, No. 2, pp. 143-147, 2001.

[PRE99] F. Pressecq. “Electronique spatiale: des composant dédiés aux composants commerciaux, enjeux d’une mutation”. Colloque de CAO de circuits intégrés et systèmes, pp. 64-67, 1999.

[HUA95] J. B. Huang, C. M. Ho, S. Tung, C. Liu, Y. C. Tai. “Micro thermal shear stress sensor with and without cavity undemeath”. Proceedings of the IEEE Instrumentation/ Measurement Technologies Conference (IJVJTC/95), pp. 17 1-174, 1995.

[NIE85] N. J.Nielsen. “History of thinkjet printhead development”. Hewlett-Packard Journal, Vol. 36, No. 5, 1985.

[CAT96] R. Catanescu, J. Binder, « An implantable microsystem for biomedical applications », In Micro Systems Technologies

192

1996.

[TAN92] S.J. Tangh, K.D. Wise, « A 16 – Channel CMOS Neural Stimulating Array”, In IEEE Journal of Solid-State Circuits, vol. 27, No. 12, December 1992.

[SEN98a] Stephen D. Senturia, “CAD challenges for microsensors, microactuators and microsystems”, Proceeding ofIEEE, vol. 86, pp. 1611-1626, 1998.

[KAR96] J. M. Karam, B. Courtois, and M. Bauge, “High level CAD melds microsystems with foundries”, in Proceedings, European Design and Test Conference ED&TC 96, pp. 442-447, Paris, France, March 1996.

[SEN98b] Stephen D. Senturia, “Simulation and design of microsystems: a 10 years perspective”, Sensors andactuators, vol. A67, pp. 1-7, 1998.

[SEN95] Stephen D. Senturia, “CAD for microelectromechanical systems”, in 8117 International Conference of Solid-State Sensors and Actuators, and Eurosensors IX. Digest of TechnicalPapers, vol. 2 (of 2), pp. 5-8, Stockholm, Sweden, 1995.

[FOL95] B. Folkmer and H. Sandmaier, Simulation tools for micro electro mechanical systems, Simulation and Design for Microsystems and Microstructures. Computational Mechanics Publications, Ashurst Lodge, Ashurst, Southampton, S040 7AA, United Kingdom, 1995.

[SCH96] A. Schroth, T. Blochwitz, and G. Gerlach, “Simulation of a complex sensor system using coupled simulation programs”, Sensors and Actuators A (Physical), vol.A54, pp. 632-635, 1996.

193

[ANT95] E.K. Antonsson, “ Structure Design Methods for MEMS” , NSF sponsored workshop on Structured Design Methods for MEMS, November 12-15, 1995

[MUK97] T. Mukherjee and G.K. Fedder, “Structured Design Of Microelectromechanical Systems”, Proceeding of the 34th design automation Conference ( DAC 97 ), Anaheim, CA, June 9-13, 1997

[CHA01] CHARLOT Benoit, “Modélisation de fautes et conception en vue du test structurel des microsystèmes”. Thèse 2001, laboratoire TIMA de Grenoble

[LAB08] LABRAK Lioua, “Optimisation d’architectures intégrées et synthèse automatique de layout”. Thèse 2008, laboratoire INL , INSA de Lyon

[FEL08] FELLAH Yassmina, “Méthodologie d’aide à la conception de structures intégrées mixtes : application à une interface capteur paramétrable à base de convertisseur Sigma-Delta”. Thèse 2008, laboratoire INL, INSA de Lyon

[DEG87] M.G.R Degrauwe, O. Nys, E. Dijkstra, and J. et al. Rijrnenants. « IDAC: An Interactive Design Tool for Analog CMOS Circuits ». IEEE J.Solid-State Circuits, vol. 22, no. llO6—lls,pp. 1106—1116, Dec. 1987.

[TUR89] F. El-Turky and E. E. Perry. «BLADES: An Artiflcial Intelligence Approach to Analog Circuit Design ». IEEE Transactions on Computer-Aided Design oflntegrated Circuits, vol. 8, no. 6, pp. 680—692, Jun. 1989.

[HAR89] R. Harjani, R.A. Rutenbar, and L.R. Carley. «OASYS: A Framework for Analog Circuit Synthesis ». IEEE Transactions

194

on Computer-A ided Design of Integrated Circuits, vol. 8, no. 12, pp. 1247—1266, Dec. 1989.

[JAN03] N. Jangkraj arng, S. Bhattacharay, R. Hartono, and C.-J. Shi. «IPRAIL-Intellectual Proprety Reuse-based Analog IC Layout Automation ». Technical report, Departement of Electrical Engineering, University of Washington, March 2003.

[MAK95] C. A. Makris and C. Toumazou. «Analog IC design automation. II. Automated circuit correction by qualitative reasoning ». IEEE Transactions on Computer-Aided Design of integrated Circuits and Systems, vol. 14, no. 2, pp. 239—254, Feb. 1995.

[ANA94] Anacad. « Eldo User’s Manual », 1994.

[ANS04] Anasift. «http ://www.anasift.com », 2004.

[CAS01] W, O. Casârio, G. Nicolescu, L. Gauthier, D. Lyonnard, and A. Jerraya. «Colif:A Design Representation for Application-Specific Multiprocessor SOCs ». IEEE Design & Test of Computers, vol. 18, pp. 8—20, Oct. 2001.

[BAR03] Barcelona Design. «http: //www.barcelonadesign.com », 2003.

[HER98] M. Del Mar Hershenson, S.P. Boyd, and T.H. Lee. «GPCAD: a tool for CMOS op-amp synthesis ». In Computer-Aided Design, pages 296—303, 1998.

[GUE03] R. Guelaz, D. Kourtiche, and M. Nadi. « A behavioral description with VHDLAMS of a piezo-ceramic ultrasound transducer based on the Redwood’s model ». In Froc. Forum on Specfication & Design Languages, Sept. 2003.

[KIR00] Kirchhoff-Institute for Physics.

195

«http://www.kip.uniheidelberg/vision/proj- ects/evotarray.html/ », 2002.

[LEN00] C.K. Lennard. «System-level models explore terrain ». EE Times, June 2000.

[MIE99] Fabien Mieyveille. Modélisation de liaisons optiques inter- et intra-puces à haut débit. PhD thesis, Ecole Centrale de Lyon - LEOM, 1999.

[MEM04] MEMSCAP. «http://www.memscap.com », 2004.

[DRI04] DRISSI TISSAFI Faress, « Méthodes et outils de synthèse pour systèmes multi domaine », thèse de l’Ecole Centrale de Lyon. 2004, N° d’ordre : 2004-34

[HAM05] HAMON Juan-Carlos, « Méthodes et outils de la conception amont pour les systèmes et les microsystèmes ». L'Institut National Polytechnique de Toulouse.2005.

[MAU05] MAURICE Rémy, « Contribution à la méthodologie de conception système : Application à la réalisation d’un microsystème multi capteurs communicant pour le génie civil ». L'Institut National Polytechnique de Toulouse.2005. N° d’ordre 2308.

[COU05] COURTOIS Bernard, « Conception de transducteur acoustique micro-usiné ». L’Institue National Polytechnique de Grenoble, Laboratoire TIMA. 2005.

[JUN03] JUNEIDI Zein, « Outils CAO pour Microsystèmes ». L’Institue National Polytechnique de Grenoble, Laboratoire TIMA.2003.

[DES01] M. DESSOUKY, « Conception en vue de la réutilisation de

196

circuits ana logiques. Application: Modulateur DELTA-SIGMA â très faible tension » . Thèse Paris : Université Pierre et Marie Curie, Janvier 2001. 211 p

[DES00] M. DESSOIJKY, «Very low-voltage fully differential amplifier for switched- capacitor applications ». In Proc. IEEE Int. Symposium on Circuits and Systems. Volume 5, pages 441-444. May 2000.

[DES01] M . DESSOLJKY, « Conception en vue de la réutilisation de circuits analogiques. Application: modulateur DELTA-SIGMA â très faible tension ». Thèse. Paris : Université de Paris 06, Janvier 2001. 211 p.

[VAN02] G.VAN DER PLAS G. GIELEN, «A Computer-Aided Design anti Synthesis Environment for Analog Integrated Circuits». Norwell USA: Kluwer Academic Publishers. 2002

[OFT99] Observatoire Français des techniques avancées. Microsystèmes. Paris: OFTA, 1999, 202p. ISBN 290602807X

[MIR02a] MIR Salvador. Dispositifs et physique des microsystèmes sur silicium. Paris: Hermès Sciences, Lavoisier, 2002, 221p. ISBN: 2-7462-0507-6

[MIR02b] MIR Salvador. Conception des microsystèmes sur silicium. Paris : Hermès Sciences, Lavoisier, 2002, 221p. ISBN: 2746205068

[HER02] HERVE Yannick. « VHDL-AMS Applications et enjeux industriels ». Paris: Dunod, 2002, 227p. ISBN 2100058886

[TRE05] Michaël TRÉMEAUX, Olivier OFFRANC, " Plateforme de CAO pour l'aide à la modélisation de capteurs en VHDL-AMS ", 2005.

197

[VAN03] Heu VANG, « Plateforme de CAO microsystème», Laboratoire de Physique de la Matière de 'I.N.S.A de Lyon Laboratoire d'Électronique de CPE Lyon, Juin 2003.

[ASC03] Georges Asch, « Acquisition de données de capteur à l’ordinateur», Dunod, Paris 2003.

[FEL08] FELLAH Yassmina « Modélisation d’aide à la conception de structures intégrées mixte : application à une interface capteur paramétrable à base de convertisseur Sigma-Delta ». Thèse. INSA de Lyon: CPE Lyon, 2008

[ASC06] Georges Asch, « les capteurs en instrumentation industrielle », Dunod, Paris 2006.

[GAL00] GALLORINI R. « Conception technologique CMOS standard d’une interface pour capteur capacitif dédié à la mesure d’humidité relative ». Thèse. Lyon: CPE Lyon, 2000.

[ABO03] Nacer ABOUCHI, Alin AUBERT, C.CONDEMINE, Thierry TIXIER. Etude, conception d’un microsystème pour interface capteur. Questions de pédagogie dans l’enseignement supérieur: réflexion, projets et pratiques. Deuxième colloque organisé par l’ENSIETA et l’ENST Bretagne 25-26-27 juin 2003

[TET96] TETELIN A., LEVI H., MONGELLAZ B. and PELLET C. Behavioral “Modeling of a Humidity Sensor using an Analog Hardware Description Language”. Université de Bordeaux I, modeling. MRS spring meeting. Juin 1996

[IGU07] IGUERMIA Abdelmajid, NBAHEDDA Boujemaa « VHDL-AMS : Un Atout Pour La Conception Des Systèmes Microélectroniques Analogiques – Numériques ». Département

198

Génie Électrique École Polytechnique De Montréal. Consulter 2007.

[BER07] Serge Bernard, Michel Renovell, Florence Azaïs Benoit Agnus « Conception de circuits mixtes basée sur des solutions de compensation et de post-processing numérique ». LIRMM, NXP Semi-conducteur France. 13 juin 2007.

[KSE02] Nesrine Ksentini, Ahmed Fakhfakh, Mourad Loulou, Nouri Masmoudi, J. Jacques Charlot « Application de la méthode de conception hiérarchique Top-down pour la simulation des modulateurs Sigma-Delta». Laboratoire d’Electronique et des Technologies de l’Information. Paris France 2002.

[COU06] B.Courtois, Quelques tendances en microélectronique, issu de .

Consulter 2006http://www.ensem.u-nancy.fr/Microlor/bulletin/bul12.pdf

[AUB01] Alain Aubert. « Contribution à la conception d’un circuit analogique programmable en technologie CMOS : Conception et caractérisation d’une cellule de calcul analogique ». PhD thesis, Institut national des sciences appliquées de Lyon, Décembre 2001.

[ISK03] R. Iskander, M. Dessouky, M. Magdy, H. Noha, S. Noha, and M. Sami. «Synthesis of CMOS Analog Cells using AMIGO ». In Proc. European Design & Test in Europe Conf, Paris, France, Mar. 2003.

[CAD03] Cadence Design Systems. « », 2003.http://www.cadence.com

[OCH98] S. Ochotta, T. Mukherjee, R. Rutenbar, and R. Carley. Fratical Synthesis of High Performance Analog Circuit. Kluwer Academic, Massachusetts USA, 1998.

199

[LAV03] Laville C. Étude et réalisation d'un capteur d'humidité pour un microsystème médical : « structures capacitives à diélectriques polymères »2003.

[HUM02] Humirel: “Humidity and temperature sensors”2002.

http://www.humirel.com/product/fichier/HS1101-HS1100.pdf

[PUB02] Publications. « Nano Science and Technology Institute »

http://www.nsti.org/publ/MSM2002/165.pdf

[ABO06] Y. Fellah, L. Labrak, N. Abouchi, and T. Tixier. «Automatisation du flot de conception des systemes mixtes : Application au convertisseur sigma delta ». In Proc. Taisa’06, pages 63–66, February 2006.

[MAL03] Piero MALCOVATI, simona BRIGATI, fabrizio FRANCESCONI, franco MALOBERTI, paolo CUSINATO, andrea BASCHIROTTO. “Behavioral Modeling Of Switched-Capacitor Sigma-Delta Modulators”. Transaction on circuits and systems: fundamental theory and application, VOL.50, NO.3, MARCH 2003.

[VAC02] Alain Vachoux .Modélisation de Systèmes Intégrés Analogiques et mixtes. EPFL Version 2002, Eté 2002.

[ASI07] http://www-asim.lip6.fr/~hassan/stage1mai03_html.html, consulter 2007

[INS05] http://institut.in2p3.fr/page/formation/electronique/Doc-ana- 04/JL_Conversion.pdf . Consulter 2005.

[BAI96] François BAILLIEU, patrick LOUMEAU, herve PETIT, jacky PORTE. « Capacités commutées et applications ». Paris: DUNOD, 1996. ISBN 2 10 002654 2.

200

[STE08] http://stephane.poujouly.free.fr/Documents/Doc%20Cours/capt-pres.pdf(capteur), Consulter 2008.

[SWI93] K. Swings and W. Sansen. “ARIADNE: a constraint-based approach to computer aided synthesis and modelling of analog integrated circuits”. In analog integrated circuits and signal processing. Volume 3, pages 197-215, Mai 1993

[ISK05] R.ISKANDER, “Automatic biasing point extraction and design plan generation for analog IPs”, Circuit and Systems, 48th Midwest Symposium, Volume 7-10, pages 907-910, 2005.

[MED93] F.MEDEIRO, “A toul for automated design of Sigma-delta modulators using statistical optimization”, Circuits and Systems, ISCAS IEEE International Symposium on Volume, Pages: 1373-1376, Mai 1993.

[CON] Condemine C. “Contribution à la conception de microsystème sigma-delta asservis“.

201

[ABO03] Nacer ABOUCHI, Alin AUBERT, C.CONDEMINE, Thierry TIXIER. Etude, conception d’un microsystème pour interface capteur. Questions de pédagogie dans l’enseignement supérieur: réflexion, projets et pratiques. Deuxième colloque organisé par l’ENSIETA et l’ENST Bretagne 25-26-27 juin 2003

[ABO06] Y. Fellah, L. Labrak, N. Abouchi, and T. Tixier. «Automatisation du flot de conception des systemes mixtes : Application au convertisseur sigma delta ». In Proc. Taisa’06, pages 63–66, February 2006.

[ANA06] Analog Devices web site : http://www.analog.comltechnology/mems/accelerometers/. Consulter 2006

[ANA94] Anacad. « Eldo User’s Manual », 1994.

[ANS04] Anasift. «http ://www.anasift.com », 2004.

[ANT95] E.K. Antonsson, “ Structure Design Methods for MEMS” , NSF sponsored workshop on Structured Design Methods for MEMS, November 12-15, 1995

[ASC03] Georges Asch, « Acquisition de données de capteur à l’ordinateur», Dunod, Paris 2003.

[ASC06] Georges Asch, « les capteurs en instrumentation industrielle », Dunod, Paris 2006.

[ASI07] http://www-asim.lip6.fr/~hassan/stage1mai03_html.html, consulter 2007

[AUB01] Alain Aubert. « Contribution à la conception d’un circuit analogique programmable en technologie CMOS : Conception et caractérisation d’une cellule de calcul analogique ». PhD thesis, Institut national des sciences appliquées de Lyon, Décembre 2001.

[BAI96] François baillieu, patrick loumeau, herve petit, jacky porte. « Capacités commutées et applications ». Paris: DUNOD, 1996. ISBN 2 10 002654 2.

[BAR03] Barcelona Design. «http: //www.barcelonadesign.com », 2003.

202

[BER07] Serge Bernard, Michel Renovell, Florence Azaïs Benoit Agnus « Conception de circuits mixtes basée sur des solutions de compensation et de post-processing numérique ». LIRMM, NXP Semi-conducteur France. 13 juin 2007.

[CAD03] Cadence Design Systems. « http://www.cadence.com », 2003.

[CAR01] A. Carullo et M. Parvis. “An ultrasonic sensor for distance measurement in automotive Applications”. Vol. 1, No. 2, pp. 143-147, 2001.

[CAS01] W, O. Casârio, G. Nicolescu, L. Gauthier, D. Lyonnard, and A. Jerraya. «Colif:A Design Representation for Application-Specific Multiprocessor SOCs ». IEEE Design & Test of Computers, vol. 18, pp. 8—20, Oct. 2001.

[CAT96] R. Catanescu, J. Binder, « An implantable microsystem for biomedical applications », In Micro Systems Technologies 1996.

[CHA01] CHARLOT Benoit, “Modélisation de fautes et conception en vue du test structurel des microsystèmes”. Thèse 2001, laboratoire TIMA de Grenoble

[COU05] COURTOIS Bernard, « Conception de transducteur acoustique micro-usiné ». L’Institue National Polytechnique de Grenoble, Laboratoire TIMA. 2005.

[COU06] B.Courtois, Quelques tendances en microélectronique, issu de http://www.ensem.u-nancy.fr/Microlor/bulletin/bul12.pdf. Consulter 2006

[DEG87] M.G.R Degrauwe, O. Nys, E. Dijkstra, and J. et al. Rijrnenants. « IDAC: An Interactive Design Tool for Analog CMOS Circuits ». IEEE J.Solid-State Circuits, vol. 22, no. llO6—lls,pp. 1106—1116, Décembre 1987.

[DES00] M. DESSOIJKY, «Very low-voltage fully differential amplifier for switched- capacitor applications ». In Proc. IEEE Int. Symposium on Circuits and Systems. Volume 5, pages 441-444. May 2000.

[DES01] M. DESSOUKY, « Conception en vue de la réutilisation de circuits ana logiques. Application: Modulateur DELTA-SIGMA â très faible tension » . Thèse Paris : Université Pierre et Marie Curie, Janvier 2001. 211 p

203

[DES01] M . DESSOLJKY, « Conception en vue de la réutilisation de circuits analogiques. Application: modulateur DELTA-SIGMA â très faible tension». Thèse. Paris : Université de Paris 06, Janvier 2001. 211 p.

[DRI04] DRISSI TISSAFI Faress, « Méthodes et outils de synthèse pour systèmes multi domaine », thèse de l’Ecole Centrale de Lyon. 2004, N° d’ordre : 2004-34

[FEL08] FELLAH Yassmina, “Méthodologie d’aide à la conception de structures intégrées mixtes : application à une interface capteur paramétrable à base de convertisseur Sigma-Delta”. Thèse 2008, laboratoire INL, INSA de Lyon

[FEL08] FELLAH Yassmina « Modélisation d’aide à la conception de structures intégrées mixte : application à une interface capteur paramétrable à base de convertisseur Sigma-Delta ». Thèse. INSA de Lyon: CPE Lyon, 2008

[FEY59] R. P. Feynman. “There’s plenty of room at the bottom”. I MEMS, Vol. 1, No. 1, March 1992. (Transcription d’une allocution donnée par R. P. Feynman), décembre 1959.

[FOL95] B. Folkmer and H. Sandmaier, Simulation tools for micro electro mechanical systems, Simulation and Design for Microsystems and Microstructures. Computational Mechanics Publications, Ashurst Lodge, Ashurst, Southampton, S040 7AA, United Kingdom, 1995.

[GAL00] GALLORINI R. « Conception technologique CMOS standard d’une interface pour capteur capacitif dédié à la mesure d’humidité relative ». Thèse. Lyon: CPE Lyon, 2000.

[GAR94] J. W. Gardner. “Microsensors principles and applications”. John Wiley and Sons Ltd., England, 1994.

[GLE94] J. Gleick. “Le génial professeur Feynman”. Editions Odile Jacob, 1994.

[GUE03] R. Guelaz, D. Kourtiche, and M. Nadi. « A behavioral description with VHDLAMS of a piezo-ceramic ultrasound transducer based on the Redwood’s model ». In Froc. Forum on Specfication & Design Languages, Sept. 2003.

204

[HAM05] HAMON Juan-Carlos, « Méthodes et outils de la conception amont pour les systèmes et les microsystèmes ». L'Institut National Polytechnique de Toulouse.2005.

[HAR89] R. Harjani, R.A. Rutenbar, and L.R. Carley. «OASYS: A Framework for Analog Circuit Synthesis ». IEEE Transactions on Computer-A ided Design of Integrated Circuits, vol. 8, no. 12, pp. 1247—1266, Dec. 1989.

[HER02] HERVE Yannick. « VHDL-AMS Applications et enjeux industriels ». Paris: Dunod, 2002, 227p. ISBN 2100058886

[HER98] M. Del Mar Hershenson, S.P. Boyd, and T.H. Lee. «GPCAD: a tool for CMOS op-amp synthesis ». In Computer-Aided Design, pages 296—303, 1998.

[HON88a] D. Hong, W.H. Ko, M. H. Bao and Y. “Integrated fabricated of polysilicon mechanisms”. IEEE Transaction on Electron Devices, ED-35, 1988.

[How88] R. T. Howe. “Surface micromachining for microsensors and microactuators”. Journal of Vacuum Science Technologies, No. 16, 1988.

[HUA95] J. B. Huang, C. M. Ho, S. Tung, C. Liu, Y. C. Tai. “Micro thermal shear stress sensor with and without cavity undemeath”. Proceedings of the IEEE Instrumentation/ Measurement Technologies Conference (IJVJTC/95), pp. 17 1-174, 1995.

[HUM02] Humirel: http://www.humirel.com/product/fichier/HS1101-HS1100.pdf “Humidity and temperature sensors”2002.

[IGU07] IGUERMIA Abdelmajid, NBAHEDDA Boujemaa « VHDL-AMS : Un Atout Pour La Conception Des Systèmes Microélectroniques Analogiques – Numériques ». Département Génie Électrique École Polytechnique De Montréal. Consulter 2007.

[INS05] http://institut.in2p3.fr/page/formation/electronique/Doc-ana- 04/JL_Conversion.pdf . Consulter 2005.

[ISK03] R. Iskander, M. Dessouky, M. Magdy, H. Noha, S. Noha, and M. Sami. «Synthesis of CMOS Analog Cells using AMIGO ». In Proc. European Design & Test in Europe Conf, Paris, France, Mar. 2003.

205

[ISK05] R.ISKANDER, “Automatic biasing point extraction and design plan generation for analog IPs”, Circuit and Systems, 48th Midwest Symposium, Volume 7-10, pages 907-910, 2005.

[JAN03] N. Jangkraj arng, S. Bhattacharay, R. Hartono, and C.-J. Shi. «IPRAIL-Intellectual Proprety Reuse-based Analog IC Layout Automation ». Technical report, Departement of Electrical Engineering, University of Washington, March 2003.

[JUD01] J. W. Judy. “Microelectro mechanical systems (MEMS): “fabrication, design and applications”. Smart Materials and Structures, No. 10, pp. 1115-1134, 2001.

[JUN03] JUNEIDI Zein, « Outils CAO pour Microsystèmes ». L’Institue National Polytechnique de Grenoble, Laboratoire TIMA.2003.

[KAR96] J. M. Karam, B. Courtois, and M. Bauge, “High level CAD melds microsystems with foundries”, in Proceedings, European Design and Test Conference ED&TC 96, pp. 442-447, Paris, France, March 1996.

[KIR00] Kirchhoff-Institute for Physics. «http://www.kip.uniheidelberg/vision/proj- ects/evotarray.html/ », 2002.

[KOV98] G. T. A. Kovacs and al. “Bulk micromachining ofsilicon”. Froc. IEEE, Vol. 86, 1998.

[KSE02] Nesrine Ksentini, Ahmed Fakhfakh, Mourad Loulou, Nouri Masmoudi, J. Jacques Charlot « Application de la méthode de conception hiérarchique Top-down pour la simulation des modulateurs Sigma-Delta». Laboratoire d’Electronique et des Technologies de l’Information. Paris France 2002.

[LAB08] LABRAK Lioua, “Optimisation d’architectures intégrées et synthèse automatique de layout”. Thèse 2008, laboratoire INL , INSA de Lyon

[LAV03] Laville C. Étude et réalisation d'un capteur d'humidité pour un microsystème médical : « structures capacitives à diélectriques polymères »2003.

[LEN00] C.K. Lennard. «System-level models explore terrain ». EE Times, June 2000.

206

[MAK95] C. A. Makris and C. Toumazou. «Analog IC design automation. II. Automated circuit correction by qualitative reasoning ». IEEE Transactions on Computer-Aided Design of integrated Circuits and Systems, vol. 14, no. 2, pp. 239—254, Feb. 1995.

[MAL03] Piero malcovati, simona brigati, fabrizio francesconi, franco maloberti, paolo cusinato, andrea baschirotto. “Behavioral Modeling Of Switched-Capacitor Sigma-Delta Modulators”. Transaction on circuits and systems: fundamental theory and application, VOL.50, NO.3, MARCH 2003.

[MAU05] MAURICE Rémy, « Contribution à la méthodologie de conception système : Application à la réalisation d’un microsystème multi capteurs communicant pour le génie civil ». L'Institut National Polytechnique de Toulouse.2005. N° d’ordre 2308.

[MED93] F.MEDEIRO, “A toul for automated design of Sigma-delta modulators using statistical optimization”, Circuits and Systems, ISCAS IEEE International Symposium on Volume, Pages: 1373-1376, Mai 1993.

[MEM04] MEMSCAP. «http://www.memscap.com », 2004.

[MID00] S. Middelhoek. “Celebration of the tenth transducers Conference: the past, present and future oftransducer research and development”. Sensors andActuators A, Vol. 82, pp. 2-23, 2000.

[MIE99] Fabien Mieyveille. Modélisation de liaisons optiques inter- et intra-puces à haut débit. PhD thesis, Ecole Centrale de Lyon - LEOM, 1999.

[MIR02a] MIR Salvador. Dispositifs et physique des microsystèmes sur silicium. Paris: Hermès Sciences, Lavoisier, 2002, 221p. ISBN: 2-7462-0507-6

[MIR02b] MIR Salvador. Conception des microsystèmes sur silicium. Paris : Hermès Sciences, Lavoisier, 2002, 221p. ISBN: 2746205068

[MUK97] T. Mukherjee and G.K. Fedder, “Structured Design Of Microelectromechanical Systems”, Proceeding of the 34th design automation Conference ( DAC 97 ), Anaheim, CA, June 9-13, 1997

207

[NEX02] Nexus. “Market analysis for microsystems”. Novembre 2002.

[NIE85] N. J.Nielsen. “History of thinkjet printhead development”. Hewlett-Packard Journal, Vol. 36, No. 5, 1985.

[OCH98] S. Ochotta, T. Mukherjee, R. Rutenbar, and R. Carley. Fratical Synthesis of High Performance Analog Circuit. Kluwer Academic, Massachusetts USA, 1998.

[OFT99] Observatoire Français des techniques avancées. Microsystèmes. Paris: OFTA, 1999, 202p. ISBN 290602807X

[PET82] K. E. Peterson. “Silicon as a mechanical material”. Froc. IEEE, Vol. 70, pp. 420-457, 1982.

[PRE99] F. Pressecq. “Electronique spatiale: des composant dédiés aux composants commerciaux, enjeux d’une mutation”. Colloque de CAO de circuits intégrés et systèmes, pp. 64-67, 1999.

[PUB02] Publications. http://www.nsti.org/publ/MSM2002/165.pdf « Nano Science and Technology Institute »

[SCH96] A. Schroth, T. Blochwitz, and G. Gerlach, “Simulation of a complex sensor system using coupled simulation programs”, Sensors and Actuators A (Physical), vol.A54, pp. 632-635, 1996.

[SEN95] Stephen D. Senturia, “CAD for microelectromechanical systems”, in 8117 International Conference of Solid-State Sensors and Actuators, and Eurosensors IX. Digest of TechnicalPapers, vol. 2 (of 2), pp. 5-8, Stockholm, Sweden, 1995.

[SEN98a] Stephen D. Senturia, “CAD challenges for microsensors, microactuators and microsystems”, Proceeding ofIEEE, vol. 86, pp. 1611-1626, 1998.

[SEN98b] Stephen D. Senturia, “Simulation and design of microsystems: a 10 years perspective”, Sensors andactuators, vol. A67, pp. 1-7, 1998.

[STE08] http://stephane.poujouly.free.fr/Documents/Doc%20Cours/capt-pres.pdf(capteur), Consulter 2008.

208

[SWI93] K. Swings and W. Sansen. “ARIADNE: a constraint-based approach to computer aided synthesis and modelling of analog integrated circuits”. In analog integrated circuits and signal processing. Volume 3, pages 197-215, Mai 1993

[TAN92] S.J. Tangh, K.D. Wise, « A 16 – Channel CMOS Neural Stimulating Array”, In IEEE Journal of Solid-State Circuits, vol. 27, No. 12, December 1992.

[TET96] TETELIN A., LEVI H., MONGELLAZ B. and PELLET C. Behavioral “Modeling of a Humidity Sensor using an Analog Hardware Description Language”. Université de Bordeaux I, modeling. MRS spring meeting. Juin 1996

[TRE05] Michaël TRÉMEAUX, Olivier OFFRANC, " Plateforme de CAO pour l'aide à la modélisation de capteurs en VHDL-AMS ", 2005.

[TUM00a] J. D. Tumer, L. Austin. “Sensors for automotive telematics”, Meas. Sci. Technol., Vol. 11, pp. R58-R79, 2000.

[TUR89] F. El-Turky and E. E. Perry. «BLADES: An Artiflcial Intelligence Approach to Analog Circuit Design ». IEEE Transactions on Computer-Aided Design oflntegrated Circuits, vol. 8, no. 6, pp. 680—692, Jun. 1989.

[VAC02] Alain Vachoux .Modélisation de Systèmes Intégrés Analogiques et mixtes. EPFL Version 2002, Eté 2002.

[VAN02] G.VAN DER PLAS G. GIELEN, «A Computer-Aided Design anti Synthesis Environment for Analog Integrated Circuits». Norwell USA: Kluwer Academic Publishers. 2002

[VAN03] Heu VANG, « Plateforme de CAO microsystème», Laboratoire de Physique de la Matière de 'I.N.S.A de Lyon Laboratoire d'Électronique de CPE Lyon, Juin 2003.

[WAL01] T. Walsh, R. Payne. “Choosing between integrated and hybrid microsystems”, Micromachine Devices, November 2001.

209

Annexes 210

Annexe A: Code VHDL-AMS de l’intégrateur du modulateur SIGMA-DELTA : library ieee;

use ieee.electrical_systems.all; use ieee.std_logic_1164.all; use ieee.math_real.all; entity modulateur is generic(k:real:=1.0; vref:real:=5.0

CLK

port( terminal t_in:electrical; signal s_out:out bit; signal clock:in bit ); end entity; architecture beh of modulateur is quantity vin across t_in ; quantity vmem ,vint :real:=0.0 signal locq:boolean; begin vint'dot==k*(vin-vmem); --i locq<=(vint>0.0) when clock= s_out<='1' when locq else '0'; break on locq ; if locq use vmem==vref; else vmem==-vref; end use; end;

211

);

clock

t_in

mod1

E1

clk1

;

ntégrateur '1';

le gain fini de l’AOP Ce bloc est programmé en VHDL-AMS comme suit : library ieee; use ieee.electrical_systems.all; use ieee.std_logic_1164.all; use ieee.math_real.all; ENTITY COMP IS GENERIC (TS : REAL := 0.0); PORT (quantity INPUT : IN REAL := 0.0; quantity THRES1,thres2 : IN REAL := 0.0; quantity VAL : OUT REAL); END ENTITY COMP; ARCHITECTURE behav OF COMP IS quantity temp_val : REAL := 0.0; SIGNAL crossing1 ,crossing2: BOOLEAN := FALSE; BEGIN crossing1 <= NOT INPUT'ABOVE (THRES1); crossing2 <= NOT INPUT'ABOVE(THRES2); if input >=0.0 use IF INPUT < THRES1 use temp_val == input; ELSE temp_val ==thres1; END use; ELSE IF INPUT > THRES2 use temp_val == input; ELSE temp_val == thres2; END use; 212

end use; BREAK ON crossing1; VAL == temp_val'ZOH(TS); END ARCHITECTURE;

Annexe B:

213