19
JNOG’04 , 26 octobre 2004 JNOG’04 , 26 octobre 2004 1 MODÉLISATION MULTI-TECHNOLOGIQUE DE MODÉLISATION MULTI-TECHNOLOGIQUE DE MODULES OPTOELECTRONIQUES VCSEL POUR MODULES OPTOELECTRONIQUES VCSEL POUR COMMUNICATIONS COURTE DISTANCE ET HAUT COMMUNICATIONS COURTE DISTANCE ET HAUT DEBIT DEBIT (dans le cadre du projet RMNT « SHAMAN ») (dans le cadre du projet RMNT « SHAMAN ») Asghar Gholami, Asghar Gholami, Zeno Toffano Zeno Toffano , Alain Destrez , Alain Destrez Service Radio, Supélec, Ecole Supérieure d’Electricité, Campus de Gif-sur- Service Radio, Supélec, Ecole Supérieure d’Electricité, Campus de Gif-sur- Yvette Yvette Patricia Desgreys, Mohammed Karray Patricia Desgreys, Mohammed Karray Département Communications et Électronique École Nationale Département Communications et Électronique École Nationale Supérieure des Télécommunications, Paris Supérieure des Télécommunications, Paris

JNOG04, 26 octobre 2004 1 MODÉLISATION MULTI-TECHNOLOGIQUE DE MODULES OPTOELECTRONIQUES VCSEL POUR COMMUNICATIONS COURTE DISTANCE ET HAUT DEBIT (dans le

Embed Size (px)

Citation preview

Page 1: JNOG04, 26 octobre 2004 1 MODÉLISATION MULTI-TECHNOLOGIQUE DE MODULES OPTOELECTRONIQUES VCSEL POUR COMMUNICATIONS COURTE DISTANCE ET HAUT DEBIT (dans le

JNOG’04 , 26 octobre 2004JNOG’04 , 26 octobre 2004 11

MODÉLISATION MULTI-TECHNOLOGIQUE DE MODÉLISATION MULTI-TECHNOLOGIQUE DE MODULES OPTOELECTRONIQUES VCSEL MODULES OPTOELECTRONIQUES VCSEL POUR COMMUNICATIONS COURTE DISTANCE POUR COMMUNICATIONS COURTE DISTANCE ET HAUT DEBITET HAUT DEBIT(dans le cadre du projet RMNT « SHAMAN »)(dans le cadre du projet RMNT « SHAMAN »)

Asghar Gholami, Asghar Gholami, Zeno ToffanoZeno Toffano, Alain Destrez, Alain DestrezService Radio, Supélec, Ecole Supérieure d’Electricité, Campus de Gif-Service Radio, Supélec, Ecole Supérieure d’Electricité, Campus de Gif-sur-Yvettesur-Yvette

Patricia Desgreys, Mohammed KarrayPatricia Desgreys, Mohammed KarrayDépartement Communications et Électronique École Nationale Département Communications et Électronique École Nationale Supérieure des Télécommunications, ParisSupérieure des Télécommunications, Paris

Page 2: JNOG04, 26 octobre 2004 1 MODÉLISATION MULTI-TECHNOLOGIQUE DE MODULES OPTOELECTRONIQUES VCSEL POUR COMMUNICATIONS COURTE DISTANCE ET HAUT DEBIT (dans le

JNOG’04 , 26 octobre 2004JNOG’04 , 26 octobre 2004 22

Modules Optoélectroniques Gigabit Modules Optoélectroniques Gigabit

Capot métallique ou moulage plastique

Électronique de commande

Support PCB FR4 ou céramique

Sous-ensemble Optique (OSA)

Composants optoélectroniquesVCSELs, Photodiodes

Fibres optiques, guide d’onde

Destinés à des applications haut débit (jusqu’à 10 Gb/s par voie) et Destinés à des applications haut débit (jusqu’à 10 Gb/s par voie) et courte distance (jusqu’à 300 m). Il s’agit de liaisons sur nappe (12) de courte distance (jusqu’à 300 m). Il s’agit de liaisons sur nappe (12) de fibres optiques multimodes à gradient d’indice, les sources sont des fibres optiques multimodes à gradient d’indice, les sources sont des barrettes de VCSELs et les détecteurs des barrettes de photodiodes PIN.barrettes de VCSELs et les détecteurs des barrettes de photodiodes PIN.

Ces modules à bas coût ne sont pas stabilisés en température et sont Ces modules à bas coût ne sont pas stabilisés en température et sont prévus pour fonctionner dans la gamme -40°C/+85°C. Ils sont destinés prévus pour fonctionner dans la gamme -40°C/+85°C. Ils sont destinés aux marchés des réseaux locaux, de l’automobile et de l’avionique.aux marchés des réseaux locaux, de l’automobile et de l’avionique.

Norme: 10GBASE-SR (850nm Serial LAN PHY) du standard 803.2ae Norme: 10GBASE-SR (850nm Serial LAN PHY) du standard 803.2ae Gigabit EthernetGigabit Ethernet

Module Module optoélectronique optoélectronique D-Lightsys pour D-Lightsys pour

communication à haut communication à haut débit courte distance débit courte distance

Page 3: JNOG04, 26 octobre 2004 1 MODÉLISATION MULTI-TECHNOLOGIQUE DE MODULES OPTOELECTRONIQUES VCSEL POUR COMMUNICATIONS COURTE DISTANCE ET HAUT DEBIT (dans le

JNOG’04 , 26 octobre 2004JNOG’04 , 26 octobre 2004 33

Projet SHAMAN(Réseau Micro Nano Technologies 2002-2004)

Partenaires du projetPartenaires du projet::

SupélecSupélec, Gif, GifTelecom ParisCNRS PHASECNRS PHASE, Lab. Strasbourg, Lab. StrasbourgSupaéroSupaéro, Toulouse, ToulouseD-LightsysD-Lightsys, THALES, Orsay, THALES, OrsayIPSISIPSIS, Cesson Rennes, Cesson RennesMentor GraphicsMentor Graphics, France, France

L'association au sein d'un même module optoélectronique, de composants optiques, électriques, thermiques et mécaniques, introduit de nouvelles contraintes dans la phase de conception et nécessite une approche différente en terme de modélisation.

Composants

Extraction de

parameters

MesuresThéorie

Modèles physiques

définitionsutilisateurs

Modélisations VHDL-AMS

Méthodes mathématiques

VH

DL-A

MS

Cod

ing

Tests sur les composants

Tests système

Logiciels pour télécom

Solveurs numériques

Specifi

catio

ns

Environ

nemen

t

Tech

nolog

ies

Inte

gra

tion

systè

me

Page 4: JNOG04, 26 octobre 2004 1 MODÉLISATION MULTI-TECHNOLOGIQUE DE MODULES OPTOELECTRONIQUES VCSEL POUR COMMUNICATIONS COURTE DISTANCE ET HAUT DEBIT (dans le

JNOG’04 , 26 octobre 2004JNOG’04 , 26 octobre 2004 44

Objectifs de SHAMANObjectifs de SHAMAN

Identification des composants, des interactions et effets annexes à modéliser.

Mesures et études physiques pour les composants complexes (VCSEL).

Développement des modèles physiques des composants et validation au moyen du logiciel Comsis de IPSIS.

Identification des interactions au sein du module complet. Création d’une bibliothèque de modèles optoélectroniques VHDL-AMS.

Assemblage des modèles VHDL-AMS pour la simulation du module complet. Premiers pas vers le prototypage virtuel de MOEMS.

Page 5: JNOG04, 26 octobre 2004 1 MODÉLISATION MULTI-TECHNOLOGIQUE DE MODULES OPTOELECTRONIQUES VCSEL POUR COMMUNICATIONS COURTE DISTANCE ET HAUT DEBIT (dans le

JNOG’04 , 26 octobre 2004JNOG’04 , 26 octobre 2004 55

Modèles pour les Modèles pour les modules optoélectroniquesmodules optoélectroniques

Approche physique : élaboration de modèles prédictifs, basés sur la physique des composants et intégrant tous les paramètres internes des composants. VCSEL, Fibre optique multimode (MMF), Diode PIN, Circuits électriques.

Approche système : élaboration de modèles descriptifs, définis par un jeu de paramètres standards. Validation des modèles par comparaison avec des mesures effectuées sur le démonstrateur.

courant courant d’injectiond’injection

II

ParamètresParamètres systèmesystème

VV

AssemblageAssemblage

PuissancePuissanceoptiqueoptique

PP

Temperature TTemperature T

courant courant détecté détecté

IIrr

TT

VCSELVCSEL

coupl.coupl.VCSELVCSEL FiberFiber

paramètres technologiques et géometriquesparamètres technologiques et géometriques

PPrr

detect.detect.

Éle

ctron

iqu

eÉle

ctron

iqu

e

coupl. coupl. détect.détect.

dete

ct ele

ctron

icsd

ete

ct ele

ctron

ics

ff

PPffPPcc

• Etablissement pour chaque composant du niveau de modélisation en fonction du besoin.

• Mesures et études physiques préliminaires pour les composants complexes.

• Identification des interactions au sein du module complet

Page 6: JNOG04, 26 octobre 2004 1 MODÉLISATION MULTI-TECHNOLOGIQUE DE MODULES OPTOELECTRONIQUES VCSEL POUR COMMUNICATIONS COURTE DISTANCE ET HAUT DEBIT (dans le

JNOG’04 , 26 octobre 2004JNOG’04 , 26 octobre 2004 66

Modèle du VCSEL monomodeModèle du VCSEL monomode

Les modèles VCSEL sont basés sur les équations de continuité fonctions du nombre de photons et porteurs S et N.

Les paramètres de ces équations sont des paramètres physiques internes en général non disponibles. Pour des simulations plus réalistes on les dérive par des paramètres système.

Le modèle monomode est suffisant pour simuler la réponse statique et dynamique globale mais ne relate pas le profil d’intensité réel .

Ce modèle est maintenant implémenté dans la bibliothèque de composants du logiciel COMSIS Software de chez IPSIS.

Courbe P(I)Courbe P(I)

Réponse Réponse dynamiquedynamique

Page 7: JNOG04, 26 octobre 2004 1 MODÉLISATION MULTI-TECHNOLOGIQUE DE MODULES OPTOELECTRONIQUES VCSEL POUR COMMUNICATIONS COURTE DISTANCE ET HAUT DEBIT (dans le

JNOG’04 , 26 octobre 2004JNOG’04 , 26 octobre 2004 77

Modèle multimode du VCSELModèle multimode du VCSEL

Pour les VCSELs plusieurs modes spatiaux coexistent en fonction des conditions d’injection

Pour un VCSEL à confinement circulaire par oxyde la structure des modes transverses est celle des fibres optique LPlm.

Dans le modèle VCSEL multimode nous avons adapté les équations de continuité en incluant les champs inhomogènes et la distribution spatiale des porteurs.

kk

M

iikitkkM

kk

n S

NNNSG

N

q

tI

dt

dN

11

000

00

0i0

M

k kk

kkj

M

iikjikj

jn

jj

S

SNNNGh

N

dt

dN

0

t01

000

11

jj

jj

M

iijij

pj

jj

S

SNNNGN

S

dt

dS

1

t01

000

0n

Chaque mode Chaque mode kk est représenté par le nombre est représenté par le nombre de photons de photons SSkk et par le profil d’intensité et par le profil d’intensité kk(r)(r)..

Pour les Pour les MM modes le modèle utilise 2 modes le modèle utilise 2MM + 1 + 1 équations. équations.

Page 8: JNOG04, 26 octobre 2004 1 MODÉLISATION MULTI-TECHNOLOGIQUE DE MODULES OPTOELECTRONIQUES VCSEL POUR COMMUNICATIONS COURTE DISTANCE ET HAUT DEBIT (dans le

JNOG’04 , 26 octobre 2004JNOG’04 , 26 octobre 2004 88

Modèle multimode VCSEL:Modèle multimode VCSEL:comportement statiquecomportement statique

Distribution du champ proche en Distribution du champ proche en fonction du courant d’injectionfonction du courant d’injection

Photons in three modes

2 4 6 8 100

2 104

4 104

6 104

8 104

TotalMode 1Mode 2Mode 3

CURRENT(mA)

PH

OT

ON

S

TOTALTOTAL

LP21LP21

LP01LP01

LP11LP11

Current I = 4

P

Current I = 8

PCurrent I = 12

P

Current I = 16

P

La présence des modes transverses n’affecte pas la linéarité de la caractéristique globale L(I) d’un VCSEL, ce fait est confirmé par le modèle en sommant les puissances de chaque mode.

Dans la majorité des cas, le profil du faisceau sera annulaire, profil intéressant pour le couplage avec une fibre optique multimode permettant d’augmenter la bande passante de celle-ci.

Puissance optique en fonction du courant pour Puissance optique en fonction du courant pour les modes LP et totalles modes LP et total

Page 9: JNOG04, 26 octobre 2004 1 MODÉLISATION MULTI-TECHNOLOGIQUE DE MODULES OPTOELECTRONIQUES VCSEL POUR COMMUNICATIONS COURTE DISTANCE ET HAUT DEBIT (dans le

JNOG’04 , 26 octobre 2004JNOG’04 , 26 octobre 2004 99

Modèle multimode du VCSEL:Modèle multimode du VCSEL:comportement dynamiquecomportement dynamique

ENTERING SIGNAL

2 109

3 109

4 109

5 109

6 109

7 109

8 109

0

0.01

TIME(Sec.)

CU

RR

EN

T(A

)

OPTICAL SIGNAL AT THE EXIT OF VCSEL

2000 3000 4000 5000 6000 7000 8000 90000

5 104

1 105

FIRST MODESECOND MODETHIRD MODETOTAL

TIME (pico-sec)

NU

MB

ER

OF

PH

OT

ON

S

TOTALTOTAL

LP01LP01LP11LP11LP21LP21

La somme des réponses de chaque mode correspond avec une bonne approximation aux La somme des réponses de chaque mode correspond avec une bonne approximation aux résultats obtenus pour le modèle monomode.résultats obtenus pour le modèle monomode.

La présence des modes transverses LP modifie la structure du RIN, La présence des modes transverses LP modifie la structure du RIN, principalement aux basses fréquences. principalement aux basses fréquences.

Courant Courant d’injectiond’injection

Puissance Puissance optiqueoptique

0 1 2 3 4 5 6 7 8 9 10130

123

116

109

102

95

RIN I0 7 10 3 mA

dB

GHz

totaltotal

LP01LP01LP21LP21

LP11LP11

Bruit d’intensité optique Bruit d’intensité optique RIN (Relative Intensity RIN (Relative Intensity Noise) des modes du Noise) des modes du

VCSEL VCSEL Réponse indicielle Réponse indicielle

Page 10: JNOG04, 26 octobre 2004 1 MODÉLISATION MULTI-TECHNOLOGIQUE DE MODULES OPTOELECTRONIQUES VCSEL POUR COMMUNICATIONS COURTE DISTANCE ET HAUT DEBIT (dans le

JNOG’04 , 26 octobre 2004JNOG’04 , 26 octobre 2004 1010

Modèle thermique du VCSELModèle thermique du VCSEL

TT

G

GN

N

2

41

T

TETE

TII(T)TδIRTδVTδV

T

E

T

V

EVT

N

N

gg

thsj

gj

gj

2

0)(

)()( )()( avec

11 Nombre de porteurs Nombre de porteurs N(T)N(T) par la variation par la variation

de la tension de jonction de la tension de jonction VVjj(T)(T) et le et le

courant de seuil courant de seuil IIthth(T)(T) . .

Gain différentiel Gain différentiel GGNN(T)(T) par les variations par les variations

de la longueur d’onde de la longueur d’onde (T)(T)

)()()( TIITTP thLI

2

0

0,2

0,4

0,6

0,8

1

1,2

1,4

1,6

1,8

2

0 4 6 8 10 12

Injection Current I (mA)

Outp

ut O

ptica

l P

ow

er

P (m

W)

Volta

ge V (

V)

80°C

-40°C

-20°C

60°C0°C

40°C20°C

-40°C

80°C

0°C

40°C20°C

60°C

-20°C

1,2

1,3

1,4

1,5

1,6

1,7

1,8

0

0.2

0.4

0.6

0.8

1

1.2

0 2 4 6 8 10 12

Injection Current I (mA)

Op

tica

l P

ow

er P (m

W)

1,2

1,3

1,4

1,5

1,6

1,7

1,8

Vo

ltag

e V

(V)

-40°C

-20°C0°C20°C40°60°80°

-40°C

80°

60°

40°

-20°C

20°C0°C

Simulation Simulation Mesures sur le module D-LightsysMesures sur le module D-Lightsys

Page 11: JNOG04, 26 octobre 2004 1 MODÉLISATION MULTI-TECHNOLOGIQUE DE MODULES OPTOELECTRONIQUES VCSEL POUR COMMUNICATIONS COURTE DISTANCE ET HAUT DEBIT (dans le

JNOG’04 , 26 octobre 2004JNOG’04 , 26 octobre 2004 1111

25

26

27

28

29

30

0 0,5 1 1,5 time (ms)

tem

pe

ratu

re (°C

)

T1,5

T2,4

T3

Pop1,5

Pth1,5

Pop2,4

Pth2,4

Pop3

Pth3

Optica

l an

d t

he

rma

l po

wer

(mW

)

0

4

8

12

16

20

Circuit équivalent d’interaction Circuit équivalent d’interaction thermique de la barrette de VCSELthermique de la barrette de VCSEL

Puissance Puissance optique et optique et dissipée pour une dissipée pour une barrette de 5 barrette de 5 VCSELVCSEL

250µm >150µm

~120µm

~10µm Electrical power

Thermal coupling

250µm >150µm

~120µm

~10µm

VCSEL

Substrate

Wavelength shift

Optical power variation

Popt

Barrettes de VCSEL 850 nm, pour communication à courte distance.Barrettes de VCSEL 850 nm, pour communication à courte distance.

Il existe une interaction thermique entre les VCSEL d’une même barrette Il existe une interaction thermique entre les VCSEL d’une même barrette (changements de la puissance optique et de la longueur d’onde dus aux (changements de la puissance optique et de la longueur d’onde dus aux interactions thermiques). interactions thermiques).

Une modélisation thermique de toute la barrette est nécessaireUne modélisation thermique de toute la barrette est nécessaire

Interactions thermiques dans la barrette de Interactions thermiques dans la barrette de VCSELVCSEL

Page 12: JNOG04, 26 octobre 2004 1 MODÉLISATION MULTI-TECHNOLOGIQUE DE MODULES OPTOELECTRONIQUES VCSEL POUR COMMUNICATIONS COURTE DISTANCE ET HAUT DEBIT (dans le

JNOG’04 , 26 octobre 2004JNOG’04 , 26 octobre 2004 1212

Modèle thermique global avec circuitModèle thermique global avec circuit

Cr

Rwb

RSi

Cs

Rreport

Cs

RFR-4

Rreport

Cs

TempératureAmbiante

TCCouvercle

SubstratPCBVCSEL

ThermiqueTs

Tp

Rcouv

Rcouv-air Rsub-air

Tsolder

Cs

Tamb

Cth

RwbRgt

Id * Vds

Tc T PCBTi

Rreport

Référencethermique

Rgt

Ts

Prise en compte des technologies de reportPrise en compte des technologies de reportRéseau électrique équivalent à la Réseau électrique équivalent à la thermique du driver avec Modèle thermique du driver avec Modèle

thermique du transistor thermique du transistor

Schéma électrique thermique équivalent qui tient compte des technologies de report et Schéma électrique thermique équivalent qui tient compte des technologies de report et d’assemblage et de l’environnement complet d’un VCSEL.d’assemblage et de l’environnement complet d’un VCSEL.

Modélisation thermique de la technologie « Flip-Chip ».Modélisation thermique de la technologie « Flip-Chip ».

Modélisation thermique de la technologie « Wire-Bonding ».Modélisation thermique de la technologie « Wire-Bonding ».

Modélisation thermique de la colle ou de la brasure.Modélisation thermique de la colle ou de la brasure.

Page 13: JNOG04, 26 octobre 2004 1 MODÉLISATION MULTI-TECHNOLOGIQUE DE MODULES OPTOELECTRONIQUES VCSEL POUR COMMUNICATIONS COURTE DISTANCE ET HAUT DEBIT (dans le

JNOG’04 , 26 octobre 2004JNOG’04 , 26 octobre 2004 1313

Effet du couplage des VCSEL aux fibres Effet du couplage des VCSEL aux fibres optiques multimodes sur la bande passanteoptiques multimodes sur la bande passante

Conditions de couplage du Conditions de couplage du VCSEL vers une fibre optique VCSEL vers une fibre optique multimode à gradient d’indicemultimode à gradient d’indice

Injection Injection dans la fibre dans la fibre optique par optique par la méthode la méthode du lancer de du lancer de rayonsrayons

Un modèle spatio-temporel complet permet de Un modèle spatio-temporel complet permet de calculer la bande passante résultante de la fibre calculer la bande passante résultante de la fibre optique sous différentes conditions d’injection.optique sous différentes conditions d’injection.

Le signal optique dynamique en sortie de la Le signal optique dynamique en sortie de la fibre a été reconstruit en utilisant la distribution fibre a été reconstruit en utilisant la distribution spatiale de puissance et les vitesses moyennes spatiale de puissance et les vitesses moyennes des modes de propagation.des modes de propagation.

La bande passante est obtenue par FFT La bande passante est obtenue par FFT

1 10

3 dB

GHz

0 dB

0 m 45 m

Influence du décalage latéral de la Influence du décalage latéral de la fibre optique sur la bande passantefibre optique sur la bande passante

Page 14: JNOG04, 26 octobre 2004 1 MODÉLISATION MULTI-TECHNOLOGIQUE DE MODULES OPTOELECTRONIQUES VCSEL POUR COMMUNICATIONS COURTE DISTANCE ET HAUT DEBIT (dans le

JNOG’04 , 26 octobre 2004JNOG’04 , 26 octobre 2004 1414

Réponse indicielle en sortie Réponse indicielle en sortie de fibre optiquede fibre optique

2000 3000 4000 5000 6000 7000 8000 90000

5 104

1 105

FIBERVCSEL

TIME (pico sec.)

PH

OT

ON

S N

UM

BE

R

OPTICAL SIGNAL EXIT2.5 GHz

1300 1350 1400 1450 1500 1550 1600 1650 1700 1750 1800 1850 19000

5000

1 104

1.5 104

2 104

2.5 104

3 104

3.5 104

TIME (Pico - Sec.)

PHOT

ONS

NUM

BER

EYE DIAGRAM 8GHz

800 820 840 860 880 900 920 940 960 980 10000

1 104

2 104

3 104

4 104

5 104

TIME (pico - sec.)

PHO

TON

S N

UM

BER

Diagramme de l’œil en sortie de fibre Diagramme de l’œil en sortie de fibre optiqueoptique

.

Réponse dynamique en sortie de fibre par Réponse dynamique en sortie de fibre par injection VCSELinjection VCSEL

La fibre optique multimode introduit un délai supplémentaire qui dépend de la dispersion La fibre optique multimode introduit un délai supplémentaire qui dépend de la dispersion intermodale elle-même dépendante des conditions d’injection.intermodale elle-même dépendante des conditions d’injection.

Le profil d’indice de la fibre optique est critique pour les performances souhaitées (10 Gb/sec).Le profil d’indice de la fibre optique est critique pour les performances souhaitées (10 Gb/sec).

Sur le diagramme de l’œil on observe aussi un effet de la fibre multimode sur la gigue de Sur le diagramme de l’œil on observe aussi un effet de la fibre multimode sur la gigue de transmission surtout à haut débit.transmission surtout à haut débit.

Page 15: JNOG04, 26 octobre 2004 1 MODÉLISATION MULTI-TECHNOLOGIQUE DE MODULES OPTOELECTRONIQUES VCSEL POUR COMMUNICATIONS COURTE DISTANCE ET HAUT DEBIT (dans le

JNOG’04 , 26 octobre 2004JNOG’04 , 26 octobre 2004 1515

Mesures avec DCA 86100 de la Mesures avec DCA 86100 de la Plate-forme Optoélectronique Plate-forme Optoélectronique Supélec Astre SésameSupélec Astre Sésame

Diagramme de l’oeil du module complet avec Diagramme de l’oeil du module complet avec récepteur et sources de bruitrécepteur et sources de bruit

30 m de FO30 m de FO 250 m de FO250 m de FO

Lenght 10m Extinction Ratio 9.8 dB, Frequency 2.5 GHz,

I th =2.7 mA, I 0 =4 mA, I 1 =12 mA

100 200 300 400 500 600 700 800 900 1000

0

0.5

1

1.5

2

2.5

3

mA

PicoSecond100 200 300 400 500 600 700 800 900 1000

0

0.2

0.4

0.6

0.8

1

1.2

mA

PicoSecond2.5 Gb/s2.5 Gb/s

Simulations à l’aide du Simulations à l’aide du modèle multimode avec modèle multimode avec inclusion des bruits RIN, inclusion des bruits RIN, quantique et thermiquequantique et thermique

L’entrée est constitué d’un signal bruité spécifié par les normes. A la sortie on obtient L’entrée est constitué d’un signal bruité spécifié par les normes. A la sortie on obtient le « diagramme de l’œil » dimensionné suivant un gabarit standard.le « diagramme de l’œil » dimensionné suivant un gabarit standard.

Les paramètres, tel la température, le taux de modulation, la puissance, les Les paramètres, tel la température, le taux de modulation, la puissance, les contraintes mécaniques et géométriques seront utilisés comme des paramètres contraintes mécaniques et géométriques seront utilisés comme des paramètres génériques.génériques.

Page 16: JNOG04, 26 octobre 2004 1 MODÉLISATION MULTI-TECHNOLOGIQUE DE MODULES OPTOELECTRONIQUES VCSEL POUR COMMUNICATIONS COURTE DISTANCE ET HAUT DEBIT (dans le

JNOG’04 , 26 octobre 2004JNOG’04 , 26 octobre 2004 1616

Estimation des performances en Estimation des performances en communication TEB du modulecommunication TEB du module

TEB en fonction du RIN

126 124 122 120 118 1161 10

17

1 1016

1 1015

1 1014

1 1013

1 1012

1 1011

1 1010

1 109

1 108

1 107

1 106

1 105

1 104

1 103

Ext. Ratio 6 dBExt. Ratio 8 dBExt. Ratio 10 dB

RIN (dB/Hz)

TE

B

TEB en fonction de jitter déterministe dj et aléatoire rj

0 0.2 0.4 0.6 0.8 11 10

121 10

111 10

101 10

91 10

81 10

71 10

61 10

51 10

41 10

30.01

0.1

dj=15 ps rj= 2.5 psdj=30 ps rj=3.7 psdj =35 ps rj=5 ps

UI Intervalle Unitaire

TE

B

A partir du diagramme de l’œil on extrait le rapport signal sur bruit ou de manière équivalente A partir du diagramme de l’œil on extrait le rapport signal sur bruit ou de manière équivalente le facteur Q et la gigue qui permettent de remonter au Taux d’Erreurs Binaires (TEB).le facteur Q et la gigue qui permettent de remonter au Taux d’Erreurs Binaires (TEB).

Le TEB est présenté en fonction du taux d’extinction, rapport entre les niveaux binaires “1” Le TEB est présenté en fonction du taux d’extinction, rapport entre les niveaux binaires “1” sur le niveau “0” et la température sur le niveau “0” et la température TT. .

Le TEB est fortement dépendant de la valeur du RIN.Le TEB est fortement dépendant de la valeur du RIN. La gigue regroupe plusieurs types de perturbations pouvant pénaliser une transmission, et La gigue regroupe plusieurs types de perturbations pouvant pénaliser une transmission, et

ayant donc des conséquences sur le TEB final. ayant donc des conséquences sur le TEB final.

TEB en fonction du taux d'extinction et de la température

F

Tempér.

(°C)

Taux d’excinction (dB)

TEB 10^-x

Page 17: JNOG04, 26 octobre 2004 1 MODÉLISATION MULTI-TECHNOLOGIQUE DE MODULES OPTOELECTRONIQUES VCSEL POUR COMMUNICATIONS COURTE DISTANCE ET HAUT DEBIT (dans le

JNOG’04 , 26 octobre 2004JNOG’04 , 26 octobre 2004 1717

Intérêt du langage VHDL-AMSIntérêt du langage VHDL-AMS

Objectifs du langageObjectifs du langage Modélisation de haut niveauModélisation de haut niveau Temps discret et continu, équation différentielles ordinaires (EDO)Temps discret et continu, équation différentielles ordinaires (EDO) Compatible SPICE, Compatible SPICE, modèles externesmodèles externes Multi domaine/abstractionMulti domaine/abstraction Langage commun à la communautéLangage commun à la communauté

Intérêt opérationnelIntérêt opérationnel Norme IEEE 1076-1999 en cours de diffusion industrielleNorme IEEE 1076-1999 en cours de diffusion industrielle Développements indépendants de l’outil, interfaçage naturel avec la CAO Développements indépendants de l’outil, interfaçage naturel avec la CAO

industrielle.industrielle. Modèle «utilisateurs» (anti SPICE/ELDO)Modèle «utilisateurs» (anti SPICE/ELDO) Support du travail en équipeSupport du travail en équipe PrototypagePrototypage

Page 18: JNOG04, 26 octobre 2004 1 MODÉLISATION MULTI-TECHNOLOGIQUE DE MODULES OPTOELECTRONIQUES VCSEL POUR COMMUNICATIONS COURTE DISTANCE ET HAUT DEBIT (dans le

JNOG’04 , 26 octobre 2004JNOG’04 , 26 octobre 2004 1818

Interface optoélectronique Interface optoélectronique complète codé e VHDL-complète codé e VHDL-AMS dans « Simplorer »AMS dans « Simplorer »

Le modèle global peut être considéré comme un simple composant ayant ses Le modèle global peut être considéré comme un simple composant ayant ses propres entrées/sorties . Ceci permet de l’insérer dans un montage plus propres entrées/sorties . Ceci permet de l’insérer dans un montage plus complexecomplexe..

L'utilisateur final n'a plus qu'à spécifier les paramètres correspondant aux L'utilisateur final n'a plus qu'à spécifier les paramètres correspondant aux données des constructeurs dans les champs.données des constructeurs dans les champs.

Le fonctionnement du dispositif est testé par l'obtention d'un diagramme de l'œil. Le fonctionnement du dispositif est testé par l'obtention d'un diagramme de l'œil. Cet exemple illustre bien le niveau d'abstraction auquel on peut arriver.Cet exemple illustre bien le niveau d'abstraction auquel on peut arriver.

Simulation globale Simulation globale VHDL-AMSVHDL-AMS

du module SHAMANdu module SHAMAN

Page 19: JNOG04, 26 octobre 2004 1 MODÉLISATION MULTI-TECHNOLOGIQUE DE MODULES OPTOELECTRONIQUES VCSEL POUR COMMUNICATIONS COURTE DISTANCE ET HAUT DEBIT (dans le

JNOG’04 , 26 octobre 2004JNOG’04 , 26 octobre 2004 1919

Conclusion et RemerciementsConclusion et Remerciements

Le projet RMNT « SHAMAN » a nécessité une synergie pluridisciplinaire entre les partenaires afin de développer une bibliothèque de modèles qui permettront de simuler des MOEMS en environnement multi-contraintes.

Une partie du travail expérimental a été effectué à Supélec dans le cadre de la Plate-forme PRISME d’Optics Valley avec le soutien des programmes ASTRE (Conseil Général de l’Essonne) et SESAME (Région Ile de la France).

Les auteurs remercient les autres partenaires du projet RMNT SHAMAN: IPSIS Cesson (Christine LeBrun et Morgane Marec) D-Lightsys Orsay (Mathias Pez), ENSAE Sup’aéro Toulouse (Angélique Rissons et Jean-Claude Mollier), PHASE CNRS Strasbourg (Yannick Hervé et Sébastien Snaidero), Mentor Graphics France (Jacques Oudinot) ainsi que M. Jean-Jacques Charlot de l’ENST.