188
Institut National des Sciences Appliquées n o d’ordre : 06-ISAL. . .. . .. . .. . . Année 2006 Thèse Etude des propriétés et de l’intégration de barrières auto-positionnées sur cuivre formées par des procédés de siliciuration et de dépôts auto-catalytiques dans les interconnexions des circuits intégrés microélectroniques des générations 45 nm. Présentée pour l’obtention du grade de Docteur de l’Institut National des Sciences Appliquées de Lyon École Doctorale : Electronique Electrotechnique et Automatique Spécialité : Dispositifs de l’Électronique Intégrée par Sonarith CHHUN Soutenue publiquement le 20 novembre 2006 Composition du Jury : Rapporteur : Bernard Chenevier, Directeur de recherches, LMGP, Grenoble Directeur de Thèse : Jean-Claude Dupuy, Professeur, LPM, INSA de Lyon Co-directeur de Thèse : Laurent Gosset, Docteur, NXP Semiconductors, Crolles Membre invité : Michel Haond, Docteur-ingénieur, STMicroelectronics, Crolles Rapporteur : Daniel Mathiot, Professeur, Université Louis Pasteur, Strasbourg Michel Pons, Directeur de recherches, LTPCM, Grenoble Joaquin Torres, Docteur-ingénieur, STMicroelectronics, Crolles Thèse CIFRE préparée conjointement au LPM, Laboratoire de Physique de la Matière - INSA de Lyon - Bâtiment Blaise Pascal - 7 avenue Jean Capelle - 69621 Villeurbanne CEDEX et au sein de la société NXP Semiconductors - Alliance Crolles2 - 860 rue Jean Monnet - 38920 Crolles

no d’ordre : 06-ISAL - INSA Lyon

  • Upload
    others

  • View
    3

  • Download
    0

Embed Size (px)

Citation preview

Institut National des Sciences Appliquées

no d’ordre : 06-ISAL. . .. . .. . .. . . Année 2006

Thèse

Etude des propriétés et de l’intégration de barrières

auto-positionnées sur cuivre formées par des procédés

de siliciuration et de dépôts auto-catalytiques dans les

interconnexions des circuits intégrés microélectroniques

des générations 45 nm.

Présentée pour l’obtention du grade de

Docteur de l’Institut National des Sciences Appliquées de

Lyon

École Doctorale : Electronique Electrotechnique et Automatique

Spécialité : Dispositifs de l’Électronique Intégrée

par

Sonarith CHHUN

Soutenue publiquement le 20 novembre 2006

Composition du Jury :

Rapporteur : Bernard Chenevier, Directeur de recherches, LMGP, GrenobleDirecteur de Thèse : Jean-Claude Dupuy, Professeur, LPM, INSA de LyonCo-directeur de Thèse : Laurent Gosset, Docteur, NXP Semiconductors, CrollesMembre invité : Michel Haond, Docteur-ingénieur, STMicroelectronics, CrollesRapporteur : Daniel Mathiot, Professeur, Université Louis Pasteur, Strasbourg

Michel Pons, Directeur de recherches, LTPCM, GrenobleJoaquin Torres, Docteur-ingénieur, STMicroelectronics, Crolles

Thèse CIFRE préparée conjointement au

LPM, Laboratoire de Physique de la Matière - INSA de Lyon - Bâtiment Blaise Pascal -

7 avenue Jean Capelle - 69621 Villeurbanne CEDEX

et au sein de la société

NXP Semiconductors - Alliance Crolles2 - 860 rue Jean Monnet - 38920 Crolles

2005 SIGLE ECOLE DOCTORALE NOM ET COORDONNEES DU RESPONSABLE

CHIMIE DE LYON

Responsable : M. Denis SINOU

M. Denis SINOU Université Claude Bernard Lyon 1 Lab Synthèse Asymétrique UMR UCB/CNRS 5622 Bât 308 2

ème étage

43 bd du 11 novembre 1918 69622 VILLEURBANNE Cedex Tél : 04.72.44.81.83 Fax : 04 78 89 89 14 [email protected]

E2MC

ECONOMIE, ESPACE ET MODELISATION DES COMPORTEMENTS Responsable : M. Alain BONNAFOUS

M. Alain BONNAFOUS Université Lyon 2 14 avenue Berthelot MRASH M. Alain BONNAFOUS Laboratoire d’Economie des Transports 69363 LYON Cedex 07 Tél : 04.78.69.72.76

Alain.bonnafous∂ish-lyon.cnrs.fr E.E.A.

ELECTRONIQUE, ELECTROTECHNIQUE, AUTOMATIQUE M. Daniel BARBIER

M. Daniel BARBIER INSA DE LYON Laboratoire Physique de la Matière Bâtiment Blaise Pascal 69621 VILLEURBANNE Cedex Tél : 04.72.43.64.43 Fax 04 72 43 60 82 [email protected]

E2M2

EVOLUTION, ECOSYSTEME, MICROBIOLOGIE, MODELISATION http://biomserv.univ-lyon1.fr/E2M2 M. Jean-Pierre FLANDROIS

M. Jean-Pierre FLANDROIS UMR 5558 Biométrie et Biologie Evolutive Equipe Dynamique des Populations Bactériennes Faculté de Médecine Lyon-Sud Laboratoire de Bactériologie BP 1269600 OULLINS Tél : 04.78.86.31.50 Fax 04 72 43 13 88

E2m2∂biomserv.univ-lyon1.fr

EDIIS

INFORMATIQUE ET INFORMATION POUR LA SOCIETE http://www.insa-lyon.fr/ediis M. Lionel BRUNIE

M. Lionel BRUNIE INSA DE LYON EDIIS Bâtiment Blaise Pascal 69621 VILLEURBANNE Cedex Tél : 04.72.43.60.55 Fax 04 72 43 60 71 [email protected]

EDISS

INTERDISCIPLINAIRE SCIENCES-SANTE http://www.ibcp.fr/ediss

M. Alain Jean COZZONE

M. Alain Jean COZZONE IBCP (UCBL1) 7 passage du Vercors 69367 LYON Cedex 07 Tél : 04.72.72.26.75 Fax : 04 72 72 26 01 [email protected]

MATERIAUX DE LYON http://www.ec-lyon.fr/sites/edml M. Jacques JOSEPH

M. Jacques JOSEPH Ecole Centrale de Lyon Bât F7 Lab. Sciences et Techniques des Matériaux et des Surfaces 36 Avenue Guy de Collongue BP 163 69131 ECULLY Cedex Tél : 04.72.18.62.51 Fax 04 72 18 60 90 [email protected]

Math IF

MATHEMATIQUES ET INFORMATIQUE FONDAMENTALE http://www.ens-lyon.fr/MathIS M. Franck WAGNER

M. Franck WAGNER Université Claude Bernard Lyon1 Institut Girard Desargues UMR 5028 MATHEMATIQUES Bâtiment Doyen Jean Braconnier Bureau 101 Bis, 1

er étage

69622 VILLEURBANNE Cedex Tél : 04.72.43.27.86 Fax : 04 72 43 16 87 [email protected]

MEGA

MECANIQUE, ENERGETIQUE, GENIE CIVIL, ACOUSTIQUE http://www.lmfa.ec-lyon.fr/autres/MEGA/index.html M. François SIDOROFF

M. François SIDOROFF Ecole Centrale de Lyon Lab. Tribologie et Dynamique des Systêmes Bât G8 36 avenue Guy de Collongue BP 163 69131 ECULLY Cedex Tél :04.72.18.62.14 Fax : 04 72 18 65 37 [email protected]

A Françoise et Jean-François

A mes parents

A Bénédicte, Théophile et Valentin

Remerciements

Cette thèse a été réalisée de décembre 2003 à novembre 2006 sous contrat CIFRE au

sein de la société NXP semiconductors (anciennement Philips Semiconductors), Crolles (38),

dans le cadre de l’Alliance Crolles2, en collaboration avec le laboratoire de Physique de la

Matière (LPM) de l’Institut National des Sciences Appliquées (INSA) de Lyon, Villeurbanne

(69).

Je tiens tout d’abord à remercier les membres du jury qui ont accepté d’évaluer ce travail.

Merci à Michel Pons, Directeur de recherches au LTPCM, qui m’a fait l’honneur de présider

ce jury. Je remercie également Bernard Chenevier, Directeur de recherches, directeur adjoint

du LMGP, et Daniel Mathiot, Professeur, Directeur de l’InESS, tous deux rapporteurs de ce

travail pour leur lecture attentive du manuscrit. Merci également à Michel Haond, Docteur,

Ingénieur au sein de la société STMicroelectronics, responsable du groupe Process Integra-

tion 45nm, d’avoir accepté l’invitation à participer à ce jury de thèse.

Un grand merci à Joaquin Torres, Docteur, Ingénieur au sein de la société STMicroe-

lectronics, responsable des Modules Avancés Interconnexions, de m’avoir accueilli dans son

équipe. Je le remercie pour l’intérêt qu’il a porté à mon travail tout au long de ces trois

années, pour son aide et son expertise technique, pour ses conseils et sa disponibilité.

Je remercie également Jean-Claude Dupuy, Professeur au sein du LPM, d’avoir accepté

d’encadrer ma thèse, merci pour ses conseils, son suivi et sa disponibilité.

Un énorme merci à Laurent Gosset, Docteur, Ingénieur au sein de la société NXP se-

miconductors, de m’avoir encadré et supporté chaque journée de ces trois années de thèse.

Il a su prendre le temps de me transmettre sa rigueur, son sérieux et son savoir. Cette col-

laboration a été des plus fructueuse.

Enfin, un grand merci à toutes les personnes que j’ai côtoyées durant ces trois années,

ceux qui m’ont apporté leur aide, ceux que j’ai aidé et, tout simplement, ceux avec qui j’ai

passé d’agréables moments. Je m’excuse par avance pour les personnes non citées, oubli

involontaire de ma part :

– Les membres passés et présents de l’équipe des Modules Avancés Interconnexions :

Julien Vitiello, Julie Guillan, Olivier Dubreuil, Vincent Arnal, Alexis Farcy, les Ma-

ryline Cordeau et Thomas, Pascal Chausse, Katia Haxaire, Thierry Mourier, Wim

Besling, Hamed Chaabouni, Anna Triantafillou, Gaël Durais, Pascale Dumont-Girard,

Thierry Lacrevaz, Mohamed Aimadeddine, Raphaël Gras, Michel Gallitre, Laurent-

Luc Chapelon, Thomas Vanypre, Nicolas Jourdan, Srdjan Kordic, Lucile Broussous. . .

– Les membres passés et présents des Modules Avancés Device et Front-End :

Markus Müller, Alexandre Mondot, Alexandre Villaret, Claire Gallon, Delphine Aimé,

Jessy Bustos, Arnaud Pouydebasque, Benjamin Dumont, Pascal Mazoyer, Philippe

Coronel, Clément Charbuillet, Pierre Perreau, Robin Cerutti, Samuel Harrison. . .

– Les collaborateurs du Léti :

Paul-Henri Haumesseur, Cyril Guedj, Tifenn Decorps, Jean-Frédéric Guillaumond,

Anne Roule. . .

– Les collaborateurs des Ateliers :

Grégory Imbert, Lise Plantier, Aurélie Humbert, Eric Mastromatteo, Régis Delsol,

Maxime Mellier, Magali Grégoire, Emmanuel Ollier, Philippe Brun, Côme De-Buttet. . .

– Les collaborateurs de Fiabilité et de Caractérisation Physique :

Xavier Federspiel, David Ney, Valérie Girault, Emmanuel Petitprez, Solène Courtas,

Stéphane Jullian, Dominique Dellile, Roland Pantel, Nadine Bicais, Marco Hopstaken,

Marc Juhel, Chantal Trouiller, Fabienne Pico. . .

– Les collaborateurs de Crolles 1 :

Luc Pinzelli, Nicolas Casanova, Nicolas Gaillard, Baptiste Walgenwitz, Cecile Jenny,

Laurin Dumas. . .

– Martine Rojas, Brice Gautier du LPM

– Evelyne Montmayeul, formidable secrétaire des Modules Avancés

Une pensée particulière à ma famille et mes Amis.

Table des matières

Introduction générale 1

1 Interconnexions des circuits intégrés 5

1.1 Interconnexions cuivre . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

1.1.1 Réalisation d’une interconnexion cuivre . . . . . . . . . . . . . . . . . 5

1.1.2 Caractéristiques des interconnexions . . . . . . . . . . . . . . . . . . 10

1.2 Conséquences de la miniaturisation . . . . . . . . . . . . . . . . . . . . . . . 12

1.2.1 Temps de retard à la propagation du signal . . . . . . . . . . . . . . . 12

1.2.2 Temps de vie des interconnexions . . . . . . . . . . . . . . . . . . . . 18

2 Mécanisme de formation du CuSiN 25

2.1 Etude préliminaire . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25

2.1.1 Principe du procédé CuSiN . . . . . . . . . . . . . . . . . . . . . . . 25

2.1.2 Détermination des conditions des procédés CuSiN . . . . . . . . . . . 27

2.2 Mécanisme de formation du CuSiN . . . . . . . . . . . . . . . . . . . . . . . 30

2.2.1 Choix d’un précurseur pour la siliciuration . . . . . . . . . . . . . . . 30

2.2.2 Intérêt de l’étape de nitruration . . . . . . . . . . . . . . . . . . . . . 32

2.2.3 Etude des propriétés de siliciuration . . . . . . . . . . . . . . . . . . . 38

2.2.4 Proposition d’un mécanisme de formation . . . . . . . . . . . . . . . 47

3 Intégration et évaluation de procédés CuSiN 51

3.1 Paramètres suivis pour l’étude des procédés CuSiN . . . . . . . . . . . . . . 52

3.1.1 Paramètres électriques . . . . . . . . . . . . . . . . . . . . . . . . . . 52

3.1.2 Outils de caractérisation de la fiabilité . . . . . . . . . . . . . . . . . 58

3.2 Evaluation de procédés CuSiN . . . . . . . . . . . . . . . . . . . . . . . . . . 62

3.2.1 Description des procédés CuSiN . . . . . . . . . . . . . . . . . . . . . 62

3.2.2 Evaluation de l’architecture hybride . . . . . . . . . . . . . . . . . . . 67

3.2.3 Evaluation de la barrière auto-positionnée CuSiN . . . . . . . . . . . 77

3.3 Conclusion sur les procédés CuSiN . . . . . . . . . . . . . . . . . . . . . . . 95

i

TABLE DES MATIÈRES

4 Intégration et évaluation du CoWP/B 99

4.1 Évaluation des procédés CoWP/B . . . . . . . . . . . . . . . . . . . . . . . . 100

4.1.1 Intérêts du CoWP/B . . . . . . . . . . . . . . . . . . . . . . . . . . . 100

4.1.2 Evaluation de matériaux CoWP/B . . . . . . . . . . . . . . . . . . . 106

4.2 Intégration d’un empilement hybride CoWP/B+SiCN . . . . . . . . . . . . . 117

4.2.1 Mode d’intégration du CoWP/B . . . . . . . . . . . . . . . . . . . . . 117

4.2.2 Influence de l’introduction du CoWP/B . . . . . . . . . . . . . . . . . 119

4.2.3 Performances électriques et de fiabilité . . . . . . . . . . . . . . . . . 136

4.3 Conclusion sur les procédés CoWP/B . . . . . . . . . . . . . . . . . . . . . . 147

Conclusion générale 151

Références bibliographiques 157

Glossaire et index 169

Annexes 171

ii

Table des figures

1.1 Illustrations des interconnexions. . . . . . . . . . . . . . . . . . . . . . . . . 7

1.2 Réalisation d’un niveau d’interconnexion : dépôt du diélectrique à faible per-

mittivité et des masques durs. . . . . . . . . . . . . . . . . . . . . . . . . . . 8

1.3 Réalisation d’un niveau d’interconnexion : étapes de photolithographie et de

gravure. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9

1.4 Réalisation d’un niveau d’interconnexion : dépôt de matériaux métalliques,

polissage mécano-chimique et encapsulation supérieure des lignes. . . . . . . 10

1.5 Modélisation simple des interconnexions. . . . . . . . . . . . . . . . . . . . . 11

1.6 Réponses temporelle et fréquentielle du circuit RC. . . . . . . . . . . . . . . 12

1.7 Illustration des contributions des composants actifs et passifs au temps de

retard global du circuit en fonction de la génération technologique en consi-

dérant identiques pour chaque génération technologique les matériaux utilisés. 13

1.8 Effet du passage d’une technologie 180 nm à 65 nm sur la surface occupée

par le cuivre dans la section d’une ligne, en gardant une épaisseur de barrière

métallique constante de 25 nm. . . . . . . . . . . . . . . . . . . . . . . . . . 14

1.9 Variation de la résistivité du cuivre en fonction de la largeur pour une ligne

de 150 nm de haut avec R, le coefficient de réflexion aux joints de grains égal

à 0, 13 et p la spécularité égale à 0, 25. . . . . . . . . . . . . . . . . . . . . . 16

1.10 Gain sur le délai pour différents nœuds technologiques en retirant une barrière

de 40 nm de SiCN. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17

1.11 Schéma d’une diffusion lacunaire d’un atome de cuivre sous un flux d’électrons. 18

1.12 Coupe longitudinale prise au microscope électronique à balayage d’une ligne de

cuivre après passage d’un fort flux d’électrons montrant deux cavités formées

à l’interface cuivre / barrière diélectrique dans la hauteur de la ligne (après

rupture de la ligne). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19

1.13 Différentes configurations microstructurales des lignes en fonction de leur lar-

geur. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19

2.1 Schéma de principe du procédé CuSiN. . . . . . . . . . . . . . . . . . . . . . 26

2.2 Décomposition du silane sur du cuivre en fonction de la température. . . . . 27

iii

TABLE DES FIGURES

2.3 Variation de la résistivité du cuivre en fonction de la concentration d’impureté

incorporée. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28

2.4 Influence des procédés CuSiN sur la résistance du cuivre et la profondeur

d’incorporation du silicium. . . . . . . . . . . . . . . . . . . . . . . . . . . . 29

2.5 Représentation tri-dimensionnelle (à gauche) du silane (SiH4) et (à droite) de

tri-méthyl-silane (TMS - SiH(CH3)3) et du volume qu’ils occupent. . . . . . 31

2.6 Profils du silicium et du cuivre après procédé CuSiN avec silane ou tri-méthyl-

silane suivi d’un dépôt de SiO2. . . . . . . . . . . . . . . . . . . . . . . . . . 31

2.7 Effet d’un plasma d’oxygène sur une barrière SiCN référence et sur les maté-

riaux CuSiN formés par silane et TMS. . . . . . . . . . . . . . . . . . . . . . 33

2.8 Profils SIMS du cuivre et du silicium après recuit d’une barrière référence et

d’une couche de CuSiN. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35

2.9 Evolution de l’efficacité barrière du CuSiN en fonction de la quantité d’azote

contenue dans la couche de CuSiN pour un débit de 145 sccm de NH3. . . . . 35

2.10 Evolution de la résistance de lignes de 140 nm de large avec un procédé CuSiN

avec ou sans azote. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37

2.11 Images MEB inclinées de 15o de lignes de cuivre en coupe. . . . . . . . . . . 39

2.12 Profils des éléments Cu, Si et N déterminés par la technique AES couplée à

une technique d’abrasion pour les procédés CuSiN après recuits cuivre 250 et

400 C. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39

2.13 Spectres XPS de la surface des échantillons CuSiN avec silane ou TMS. . . . 40

2.14 Coupe longitudinale prise au MET d’une ligne de cuivre traitée pCuSiN avec

silane formant une bi-couche. . . . . . . . . . . . . . . . . . . . . . . . . . . 41

2.15 Spectres Auger du silicium et de l’oxygène de l’échantillon à forte siliciuration

avant et après abrasion de la surface. . . . . . . . . . . . . . . . . . . . . . . 43

2.16 Cliché MEB et cartographie AES associée d’un échantillon faiblement siliciuré. 43

2.17 Analyses AFM et KFM d’un échantillon faiblement siliciuré. . . . . . . . . . 44

2.18 Cartographie EBSD de la surface d’un échantillon faiblement siliciuré. . . . . 45

2.19 Influence de la préparation de surface avant siliciuration sur l’incorporation

de silicium dans le cuivre. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46

2.20 Images MEB (15 × 15 µm2) en vue de dessus des échantillons à faible siliciu-

ration en fonction de la préparation de surface représentative de l’ensemble

de la plaque. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47

2.21 Schéma du procédé de siliciuration. . . . . . . . . . . . . . . . . . . . . . . . 48

2.22 Schéma du procédé de nitruration. . . . . . . . . . . . . . . . . . . . . . . . 48

3.1 Schéma du dispositif de mesure des courants de fuite. . . . . . . . . . . . . . 52

iv

TABLE DES FIGURES

3.2 Courants de fuite d’un peigne/serpentin (largeur/espacement = 140/140 nm,

longueur du serpentin = 70 mm) d’un niveau métal Mx

mesuré au Mx

et au

Mx+1 pour un empilement standard d’une technologie Cu 90 nm avec barrière

SiCN et SiOC dense (εSiOC

r= 3,1). . . . . . . . . . . . . . . . . . . . . . . . 53

3.3 Section de ligne (S) réduite par le procédé CuSiN. . . . . . . . . . . . . . . . 54

3.4 Variation de la résistance du métal Mx

mesurée au Mx

et au Mx+1 de deux

échantillons références (SiCN sur Mx) ayant soit un recuit M

xà 400 C, soit à

250 C et un recuit Mx+1 à 400 C. . . . . . . . . . . . . . . . . . . . . . . . . 54

3.5 Représentation schématique des différentes composantes de la capacité de cou-

plage totale entre une ligne et son environnement. . . . . . . . . . . . . . . . 55

3.6 Schémas en coupe d’une chaîne de via et des différentes contributions à la

mesure de la résistance d’un via. . . . . . . . . . . . . . . . . . . . . . . . . . 56

3.7 Schéma de principe de la gravure via et ligne dans le cas (à gauche) d’une

référence avec barrière diélectrique SiCN et (à droite) sans barrière pour une

technologie 65 nm TFHM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57

3.8 Observations MEB en vue de dessus d’un réseau de lignes de 100 nm de large

espacées de 100 nm au niveau métal 2 avec des vias de 100 nm de diamètre

espacés de 150 nm le long d’une ligne. . . . . . . . . . . . . . . . . . . . . . . 57

3.9 Intérêt d’une couche de contrôle d’arrêt de la gravure du via lors du désali-

gnement d’un via. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58

3.10 Schéma en coupe de la formation d’une cavité sous le via durant un test

d’électromigration de type VxM

x. . . . . . . . . . . . . . . . . . . . . . . . . 60

3.11 Schéma en coupe de la formation d’une cavité durant un test d’électromigra-

tion de type VxM

x+1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60

3.12 Intérêt des barrières auto-positionnées pour des applications de type capteur

d’images CMOS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63

3.13 Intérêt des barrières auto-positionnées pour la fabrication de cavités d’air -

air gaps. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63

3.14 Détails sur les conditions expérimentales des procédés CuSiN évalués dans un

empilement hybride. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64

3.15 Schéma d’intégration des procédés CuSiN dans un empilement hybride. . . . 65

3.16 Schéma d’intégration des procédés CuSiN comme barrière auto-positionnée

avec ou sans plasma oxygène avant dépôt SiOC. . . . . . . . . . . . . . . . . 67

3.17 Influence des procédés CuSiN sur les courants de fuite. . . . . . . . . . . . . 68

3.18 Variation de la résistance des lignes du niveau métal 2 entre la mesure avant

procédés CuSiN et/ou SiCN et celle après procédés CuSiN + intégration ni-

veau métal 3, normalisée par rapport à l’échantillon référence SiCN. . . . . . 69

3.19 Capacité de couplage entre deux peignes du niveau métal 2 mesurée à partir

du niveau métal 3. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70

v

TABLE DES FIGURES

3.20 Résistance d’un serpentin de 100 nm de large du niveau métal 2 en fonction

de la capacité de couplage entre deux peignes espacés de 100 nm du niveau

métal 2. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70

3.21 Résistance de vias Kelvin isolés pour différents diamètres de via et une largeur

de ligne sous-jacente fixe de 100 nm. . . . . . . . . . . . . . . . . . . . . . . 72

3.22 Exemple de suivi de l’évolution de résistances de plusieurs lignes de 110 nm

de large testées en électromigration à 220 C avec une densité de courant de

1,5 MA/cm2 : échantillon CuSiN A 400 C + SiCN. . . . . . . . . . . . . . . 73

3.23 Distribution lognormale des résultats de tests d’électromigration sous une den-

sité de courant de 1,5 MA/cm2 après 1500 heures. . . . . . . . . . . . . . . . 74

3.24 Distribution lognormale des résultats de tests d’électromigration pour les

échantillons CuSiN B et A effectués à 400 ˚C pour une largeur de ligne de

110 nm sous une densité de courant de 1,5 MA/cm2, pour des températures

égales à 220, 260 et 300 C. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75

3.25 Localisation des défaillances en fonction de la durée du test d’électromigration

observées par MEB pour le procédé CuSiN B 400 C sur des lignes de 110 nm

de large. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77

3.26 Coupes MEB transversales d’une chaîne de vias mettant en évidence le retrait

de la barrière diélectrique SiCN dans le cas de la barrière auto-positionnée

CuSiN. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78

3.27 Variation des courants de fuite et des résistances entre la mesure après CMP

du métal 2 et celle après intégration du niveau supérieur, normalisée par

rapport à celle de la référence SiCN. . . . . . . . . . . . . . . . . . . . . . . 79

3.28 Evolution de la résistance des lignes en fonction de la capacité de couplage

latérale pour un pitch de 280 nm : w × s=130× 150 nm2. . . . . . . . . . . . 80

3.29 Description d’une structure de test de métal 3 et via 2 (tous deux désalignés

de 40 nm par rapport au métal 2). . . . . . . . . . . . . . . . . . . . . . . . 81

3.30 Effet d’un désalignement intentionnel d’un via V2 par rapport à la ligne sous-

jacente M2 de 40 nm sur les résistances de via. . . . . . . . . . . . . . . . . . 82

3.31 Évolution de la résistance d’une chaîne de 10 000 vias V2 de 130 nm de

diamètre (vias alignés) après recuit de 400 C durant 50 min. . . . . . . . . . 82

3.32 Observation MET et cartographie EELS de l’effet du désalignement d’un via

de 40 nm par rapport à la ligne sous-jacente dans le cas d’une technologie

90 nm avec un SiOC dense (εSiOC

r= 3,1). . . . . . . . . . . . . . . . . . . . . 82

3.33 Profils SIMS (Cs+) du Ta et du Si pour des échantillons CuSiN/SiH4 et Cu-

SiN/TMS recouverts de TaN et de SiO2 obtenus un après recuit 400 C durant

50 min. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83

3.34 Coupes transversales prises au MET de vias intégrant le procédé Punch Through

(PT). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84

vi

TABLE DES FIGURES

3.35 Influence du procédé Punch Through sur la résistance d’une chaîne de 10 000

vias V2 de 130 nm de diamètre (vias alignés). . . . . . . . . . . . . . . . . . 84

3.36 Schéma des structures de test d’électromigration dites NIST. . . . . . . . . . 86

3.37 Coupe schématique de l’empilement utilisé pour l’étude de l’électromigration. 86

3.38 Représentation lognormale de la durée de vie des interconnexions avec barrière

auto-positionnée CuSiN. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87

3.39 Observations MEB en vue de dessus de lignes de 1,2 µm de large avec CuSiN

après défaillance. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87

3.40 Coupes transversales MEB de lignes de 1,2 µm avec CuSiN après défaillance. 88

3.41 Composition de la surface d’une ligne avec pCuSiN après test. . . . . . . . . 89

3.42 Différentes représentations graphiques du courant de fuite I mesuré dans un

peigne de 140 nm de large (relié à la masse) en fonction de la tension appliquée

V aux deux extrémités d’un serpentin de 70 mm de long, de 140 nm de large

espacé de 140 nm du peigne. Ce dispositif est utilisé pour déterminer les modes

de conduction. Cas de la référence SiCN. . . . . . . . . . . . . . . . . . . . . 92

3.43 Durée de vie des interconnexions à champ fixe sous test TDDB à 150 C. . . . 94

4.1 Schéma en coupe d’un dépôt chimique en phase liquide sur une surface conduc-

trice selon l’Equation 4.3. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101

4.2 Schéma de principe en coupe de la croissance auto-catalytique de CoWP avec

activation Pd. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105

4.3 Gain sur la capacité de couplage en fonction de l’épaisseur de CoWP/B. . . 106

4.4 Profils SIMS (Cs+) du Co, Cu, Pd et B. Comparaison entre le CoWP ac-

tivé avec du Pd et le CoWP auto-activé après recuit 400 C durant 2 heures

(épaisseur de l’alliage de 20 nm). . . . . . . . . . . . . . . . . . . . . . . . . 107

4.5 Profils SIMS (Cs+) du Co, Cu, W, P. Test d’efficacité barrière de 20 nm de

matériau CoWP sans Pd utilisant un empilement Cu/CoWP recuit à 400 C

durant 2 heures dans une atmosphère inerte. . . . . . . . . . . . . . . . . . . 108

4.6 Influence du plasma oxygène avant dépôt SiOC sur le matériau CoWP déter-

minée par SIMS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109

4.7 Profils SIMS (Cs+) de Si, d’O et de Co pour un CoWP/B de 20 nm protégé

par 20 nm de SiCN. Empilement : Cu/CoWP/SiCN/(plasma O2)SiOC . . . 110

4.8 Formation d’un nitrure de silicium à la surface du cobalt par un procédé

CuSiN et détermination des propriétés de barrière contre l’oxydation. . . . . 111

4.9 Cliché MEB et cartographies AES associées d’un échantillon de 5 nm de

CoWPB auto-activé après dépôt. . . . . . . . . . . . . . . . . . . . . . . . . 112

4.10 Cliché MEB et cartographies AES associées d’un échantillon de 5 nm de

CoWPB auto-activé après recuit de 400 ˚C durant 2 heures dans une at-

mosphère inerte. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113

vii

TABLE DES FIGURES

4.11 Images MEB d’un réseau de lignes de 120 nm de large espacées de 120 nm

recouvertes par 20 nm de CoWP (procédé avec activation Pd). . . . . . . . . 114

4.12 Cartographie AFM d’un échantillon de 500 µm×500µm représentant un réseau

de lignes de 120 nm de large espacées de 120 nm recouvertes par 20 nm de

CoWP (procédé avec activation Pd). . . . . . . . . . . . . . . . . . . . . . . 114

4.13 Observations MEB en vue de dessus de réseaux de lignes de pitch 240 nm

avec un dépôt de 20 nm de CoWP avec activation Pd. . . . . . . . . . . . . . 115

4.14 Observations MEB en vue de dessus de divers motifs après un dépôt de 20 nm

de CoWP/B. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 116

4.15 Schéma d’intégration du CoWP/B avec retrait partiel de Cu. . . . . . . . . . 117

4.16 Evolution de paramètres électriques linéiques en fonction de l’épaisseur de

CoWP/B de résistivité 75 µΩ.cm, soit déposé directement après CMP soit

après retrait partiel d’une hauteur de cuivre égale à l’épaisseur de CoWP/B. 118

4.17 Représentation des étapes pouvant interagir directement avec le CoWP/B. . 119

4.18 Détermination de l’efficacité d’un plasma NH3 pour retirer l’oxyde natif de

Co à la surface du matériau. . . . . . . . . . . . . . . . . . . . . . . . . . . . 120

4.19 Schéma d’intégration TFHM avec gravure complète du via. . . . . . . . . . . 121

4.20 Schéma d’intégration TFHM avec gravure partielle du via. . . . . . . . . . . 121

4.21 Observations MET de chaînes de vias après intégration du niveau supérieur

sur 20 nm de CoWP/B pour une approche TFHM avec gravure complète du

via (approche décrite dans la Figure 4.19). . . . . . . . . . . . . . . . . . . . 122

4.22 Coupe MET d’une chaîne de vias présentant un niveau supérieur formé sur

20 nm de CoWPB et 40 nm de SiCN avec du SiOCH poreux (technologie

65 nm utilisant l’approche TFHM avec gravure partielle du via). . . . . . . . 123

4.23 Résistances de lignes de 100 nm de large mesurées après CMP d’un niveau

métallique intégré en utilisant une approche TFHM avec via gravé, partielle-

ment ou complètement (le niveau inférieur est encapsulé par 5 ou 20 nm de

CoWPB et du SiCN). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123

4.24 Schémas présentant l’effet de procédés de densification des flancs du matériau

poreux pour éviter toute surgravure. . . . . . . . . . . . . . . . . . . . . . . 124

4.25 Coupes MET et cartographies EELS de chaînes de vias avec 20 nm de CoWP/B

en variant l’épaisseur de SiCN et le nettoyage post-gravure. . . . . . . . . . . 126

4.26 Observations MEB en vue de dessus d’un motif encapsulé par du CoWP/B

soumis à un plasma à base d’oxygène suivi d’un nettoyage post-gravure. . . . 126

4.27 Schéma en coupe mettant en évidence la corrosion galvanique du CoWP/B

lorsque le procédé d’ouverture SiCN grave également complètement le CoWP/B.127

4.28 Schéma en coupe mettant en évidence l’impossibilité de la corrosion galvanique

du CoWP/B lorsqu’il reste du CoWP/B après gravure SiCN. . . . . . . . . . 127

viii

TABLE DES FIGURES

4.29 Schéma en coupe mettant en évidence la corrosion galvanique du CoWP/B

dans le cas où il reste du CoWP/B dégradé sous le via après ouverture SiCN. 127

4.30 Comportement du CoWPB à la gravure (couches de 5 et 20 nm d’épaisseur)

suivant l’approche TFHM avec gravure complète du via. . . . . . . . . . . . 129

4.31 Simulation présentant l’évolution de la résistivité du CoWPB sous un via en

fonction de la hauteur h et du diamètre S du via pour une valeur de résistance

finale Rv

de 10 Ω. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 130

4.32 Effet du procédé Punch Through pour 5 et 20 nm de CoWPB encapsulés par

40 nm de SiCN. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131

4.33 Résistance d’une chaîne de 25 millions de vias de 100 nm de diamètre reliant

deux niveaux métalliques de 100 nm de large en fonction du procédé de dépôt

de la barrière TaN/Ta et de l’épaisseur de CoWPB (pour une épaisseur de

SiCN de 40 nm). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 132

4.34 Description de différents schémas d’intégration envisageables si les chimies de

gravure et de nettoyage doivent rester inchangées. . . . . . . . . . . . . . . . 135

4.35 Schéma en coupe d’une intégration standard avec SiCN (Figure 4.34.a). . . . 136

4.36 Schéma en coupe d’une intégration CoWP/B avec ouverture SiCN durant

l’étape de métallisation avec le procédé Punch Through (Figure 4.34.c). . . . 136

4.37 Détermination de la sélectivité du procédé CoWPB de 20 nm d’épaisseur. . . 137

4.38 Détermination de la sélectivité du procédé CoWPB de 20 nm d’épaisseur par

des mesures électriques sur des structures peigne/serpentin dont les lignes de

100 nm de large sont espacées de 100 nm. . . . . . . . . . . . . . . . . . . . . 137

4.39 Coupes MET de lignes de cuivre recouvertes de 5 ou 20 nm d’épaisseur de

CoWPB en variant l’épaisseur de barrière métallique TaN/Ta. . . . . . . . . 138

4.40 Influence de l’épaisseur de la barrière métallique sur le débordement latéral

du CoWP/B. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 139

4.41 Influence du procédé CoWPB sur la résistance des lignes. . . . . . . . . . . . 141

4.42 Tests d’électromigration V1M2 avec une largeur de ligne M2 de 110 nm. . . . 143

4.43 Schéma de lignes étroites comparant la surface disponible pour la migration

d’atomes de cuivre sous un flux d’électrons (Cu/SiCN) pour les procédés Cu-

SiN et CoWPB (5 nm). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144

4.44 Tests d’électromigration V1M2 avec une largeur de ligne M2 de 3 µm . . . . 145

4.45 Tests d’électromigration V2M2 pour des vias de 110 nm de diamètre avec ou

sans procédé Punch Through (PT), avec le métal 2 encapsulé par 5 ou 20 nm

de CoWPB (densité de courant de 1, 5 MA/cm2 et température de 350 C). . 146

ix

Liste des tableaux

1.1 Evolution des dimensions des interconnexions . . . . . . . . . . . . . . . . . 6

1.2 Exemple de permittivités de la matrice isolante et de la barrière diélectrique

associée. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17

1.3 Énergie d’activation liée au chemin de diffusion des atomes de cuivre sous un

flux d’électrons dans une ligne. . . . . . . . . . . . . . . . . . . . . . . . . . . 20

2.1 Conditions expérimentales pour l’étude préliminaire des procédés CuSiN. . . 29

2.2 Variation de la résistance (mesure 4 pointes) après procédé CuSiN selon le

précurseur utilisé. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32

3.1 Conditions expérimentales des procédés CuSiN étudiés avec une technologie

90 nm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66

3.2 Comparatif des résultats d’électromigration V1M2 à 220 C des lignes de 110 nm

de large pour différents échantillons. . . . . . . . . . . . . . . . . . . . . . . . 74

3.3 Comparatif des résultats d’électromigration V1M2 des lignes de 110 nm pour

différentes températures de tests et une densité de courant de 1,5 MA/cm2

pour les échantillons CuSiN B et A (procédés CuSiN avec un support à 400 C). 75

3.4 Mécanismes de conduction des porteurs de charges dans un diélectrique. . . . 90

3.5 Récapitulatif des permittivités relatives calculées à partir des parties linéaires

des représentations Pool Frenkel (εpf

r) et Schottky (εsch

r). . . . . . . . . . . . 93

4.1 Exemples non exhaustifs de performances d’électromigration liées à l’intro-

duction d’alliages à base de cobalt sur le cuivre. . . . . . . . . . . . . . . . . 103

4.2 Composition typique d’un bain de formation de CoWP par un procédé de

croissance auto-catalytique. . . . . . . . . . . . . . . . . . . . . . . . . . . . 104

xi

Introduction générale

Suite à l’invention du premier transistor en 1947 par les physiciens William Shockley,

John Bardeen et Walter Brattain du Bell Laboratories [Rio99, Bri97], et depuis la mise

au point du premier circuit intégré en 1958 par Jack Kilby de Texas Instruments [Bri97],

l’industrie de la microélectronique n’a cessé de progresser selon une loi empirique, celle de

Gordon Moore.

Le 19 avril 1965, la revue américaine Electronics Magazine faisait figurer un article inti-

tulé Cramming more components into integrated circuits de Gordon Moore qui allait devenir

le défi et le fil conducteur de tous les constructeurs de circuits intégrés [Moo65] : « The

complexity for minimum component costs has increased at a rate of roughly a factor of two

per year1 [. . .]. Over the longer term, the rate of increase is a bit more uncertain, although

there is no reason to believe it will not remain nearly constant for at least 10 years2 ». Depuis

les années 1970, le nombre de transistors présents dans chaque puce est passé de quelques

milliers à plusieurs centaines de millions aujourd’hui, en suivant effectivement cette loi.

L’augmentation de la densité d’un circuit intégré, qui correspond à l’accroissement du

nombre de transistors par puce, mais aussi à la densification du réseau d’interconnexions3,

permet de diminuer son coût de fabrication en intégrant plus de fonctionnalités sur une

même surface. Cette course à la miniaturisation des éléments conduit à une amélioration des

performances des transistors se traduisant notamment par une augmentation de la vitesse

de fonctionnement. En ce qui concerne les interconnexions, leurs performances se dégradent

à un point tel que les phénomènes parasites, liés à la propagation du signal dans celles-ci,

deviennent prépondérants par rapport à ceux générés par les composants actifs. En fait, le

temps de retard pris par le signal lors de sa transmission le long d’une interconnexion est pro-

portionnel au produit entre la capacité de couplage d’une ligne avec son environnement et la

résistance d’une ligne métallique. Avec la réduction des dimensions des interconnexions et en

1Gordon Moore corrigera en 1975 par a factor of two every 18 months.2La complexité des composants, à coût minimal, a augmenté grossièrement d’un facteur 2 par an [. . .]

A long terme, le taux d’augmentation est plus incertain, mais il n’y a pas de raison de penser qu’il ne se

maintienne pas dans les 10 ans à venir au moins.3Un réseau d’interconnexions est l’ensemble des connexions électriques reliant les transistors entre eux et

à l’extérieur.

1

Introduction générale

conservant la composition des matériaux inchangée, la capacité et la résistance augmentent,

et par conséquent, le retard pris par le signal pour être transmis également.

C’est pourquoi, afin de compenser l’impact de la réduction des dimensions sur ces phéno-

mènes parasites, les propriétés intrinsèques des matériaux utilisés dans les interconnexions

ont évolué à chaque génération technologique depuis l’introduction du cuivre dès la généra-

tion 180 nm4. La permittivité du matériau isolant diminue notamment grâce à l’introduc-

tion de pores dans sa matrice, passant de l’oxyde de silicium dense de permittivité relative

εr

= 4, 2 à une valeur aussi faible que 2. L’intégration de cavités d’air entre les lignes, autre-

ment appelées air gaps, mettra fin à cette course à la réduction de la permittivité, car l’air est

tout simplement une limite physique en terme de permittivité : εr

= 1. En ce qui concerne

le matériau conducteur, l’aluminium (ρmassif

Al= 2, 74 µΩ · cm) a été remplacé par le cuivre

(ρmassif

Cu= 1, 70 µΩ · cm) [Kit83] pour sa plus faible résistivité [Kit83] et ses meilleures pro-

priétés en terme de résistance contre l’électromigration5. Néanmoins, l’utilisation du cuivre

nécessite de parfaitement l’isoler du reste du circuit intégré car il y diffuse aisément et pour

cela, des barrières contre sa diffusion sont mises en œuvre. Dans une intégration standard,

elles sont métalliques sur le fond et les flancs des lignes, mais diélectriques sur le dessus.

Les barrières métalliques ont une résistivité beaucoup plus importante que celle du cuivre.

Leur épaisseur doit donc être minimisée pour diminuer leur contribution à la résistivité

globale de la ligne.

En ce qui concerne les barrières diélectriques, elles sont actuellement déposées de façon

continue, aussi bien sur le cuivre qu’entre les lignes de cuivre. Cette présence de barrières

entre les lignes, associée à leur forte permittivité, a pour conséquence d’accroître le couplage

capacitif latéral. De plus, la faible qualité d’adhésion entre le cuivre et la barrière diélectrique

fait de cette interface le chemin de diffusion préférentiel du cuivre lorsque la ligne est soumise

à un flux d’électrons, limitant ainsi la durée de vie des interconnexions [Llo02, Lan03].

Le travail de cette thèse porte sur l’étude de barrières formées sélectivement et localement

sur les lignes de cuivre, sans ajout de masque, ni d’étapes de photolithographie, de gravure

ou de polissage supplémentaires. Pour cela, deux types de procédés auto-positionnés sont

étudiés, l’un fondé sur la siliciuration et nitruration de la surface du cuivre, appelé procédé

CuSiN (pCuSiN), l’autre sur le dépôt auto-catalytique d’un alliage à base de cobalt. Il s’agit

d’évaluer leurs propriétés physiques, leurs performances électriques et leur fiabilité ainsi que

de déterminer l’impact de ces nouveaux procédés sur les architectures d’interconnexions ac-

tuelles. Enfin, il s’agit également d’étudier leur compatibilité avec les matériaux diélectriques

et métalliques proposés pour les technologies avancées.

4Une génération technologique est caractérisée par la largeur minimale de la grille du transistor.5L’électromigration correspond à la migration d’atomes sous un flux d’électrons, générant des cavités

dans la ligne, conduisant ainsi à sa rupture.

2

Introduction générale

Dans la première partie, nous présentons les interconnexions cuivre ainsi que leur mode de

réalisation. Les paramètres électriques critiques associés sont explicités ainsi que l’influence

de la réduction des dimensions sur ces paramètres. Des solutions technologiques sont pro-

posées pour le maintien des performances des interconnexions. Pour finir, la nécessité des

procédés auto-positionnés pour les générations technologiques avancées sub-45 nm est éga-

lement mise en évidence.

La deuxième partie détaille les procédés CuSiN, notamment, les conditions adéquates

pour la formation d’une couche continue de CuSiN ainsi que l’intérêt de chacune des étapes.

Les propriétés barrières du CuSiN sont évaluées pour déterminer les modes d’intégration du

CuSiN. Enfin, un mécanisme de formation d’une couche de CuSiN est proposé à partir des

résultats expérimentaux obtenus.

La troisième partie porte sur l’intégration effective des procédés CuSiN. Dans un pre-

mier temps, les structures de test utilisées pour cette évaluation sont présentées ainsi que les

procédés CuSiN associés. Ensuite, leur compatibilité avec les générations technologiques 65

et 90 nm en tant que traitement de surface avant le dépôt d’une barrière diélectrique et en

tant que barrières auto-positionnées, est évaluée en terme de performances électriques et de

fiabilité.

La quatrième partie porte sur l’évaluation du procédé CoWP/B. Dans un premier temps,

le mécanisme de croissance auto-catalytique d’un alliage en phase liquide est décrit. Ensuite,

l’évaluation des propriétés barrières des matériaux CoWP/B permet de définir la manière

dont ils seront intégrés. La compatibilité de ce matériau nouveau pour les interconnexions

cuivre est évaluée et des solutions architecturales ou de modification de procédés pour leur

introduction sont proposées. Enfin, les performances électriques et de fiabilité des intercon-

nexions avec CoWP/B sont présentées et discutées.

Dans la conclusion, la maturité des procédés CuSiN et CoWP/B est comparée ainsi que

(i) leur comptabilité avec les matériaux, l’architecture et les procédés d’intégration actuels

et (ii) leur influence sur les performances électriques et de fiabilité des interconnexions. Ceci

permet de déterminer quels sont les nœuds technologiques qui requièrent l’intégration de ces

procédés avancés afin de répondre à leurs critères de performances.

3

Chapitre 1

Interconnexions des circuits intégrés

Sommaire

1.1 Interconnexions cuivre . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

1.1.1 Réalisation d’une interconnexion cuivre . . . . . . . . . . . . . . . . . . . . . 5

1.1.1.1 Définition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

1.1.1.2 Procédés de fabrication . . . . . . . . . . . . . . . . . . . . . . . . . 6

1.1.1.3 Étapes de fabrication d’une interconnexion cuivre . . . . . . . . . . 8

1.1.2 Caractéristiques des interconnexions . . . . . . . . . . . . . . . . . . . . . . . 10

1.2 Conséquences de la miniaturisation . . . . . . . . . . . . . . . . . . . . . . . 12

1.2.1 Temps de retard à la propagation du signal . . . . . . . . . . . . . . . . . . . 12

1.2.1.1 Evolution de la résistance des lignes . . . . . . . . . . . . . . . . . . 14

1.2.1.2 Evolution de la capacité de couplage . . . . . . . . . . . . . . . . . . 16

1.2.2 Temps de vie des interconnexions . . . . . . . . . . . . . . . . . . . . . . . . . 18

1.2.2.1 Phénomène d’électromigration . . . . . . . . . . . . . . . . . . . . . 18

1.2.2.2 Lieu de l’électromigration . . . . . . . . . . . . . . . . . . . . . . . . 19

1.2.2.3 Objectifs de la thèse . . . . . . . . . . . . . . . . . . . . . . . . . . . 20

1.1 Interconnexions cuivre

1.1.1 Réalisation d’une interconnexion cuivre

1.1.1.1 Définition

Un réseau d’interconnexions est un ensemble de composants passifs permettant de re-

lier les transistors entre eux et à l’extérieur du circuit intégré par le biais de matériaux

conducteurs baignant dans une matrice composée de matériaux diélectriques isolants.

5

CHAPITRE 1. INTERCONNEXIONS DES CIRCUITS INTÉGRÉS

Tableau 1.1 : Évolution des dimensions des interconnexions [ITR03].

Année de mise en production 2003 2005 2007 2009

Génération (largeur de grille minimale) nm 90 65 45 32

Pitch⋆ minimum niveau local nm 240 180 130 100

niveau intermédiaire nm 320 200 140 100

niveau global nm 475 300 210 150

Longueur totale (niveau global exclu) m/cm2 579 1019 1439 2000

Matériau isolant SiOC SiOCH SiOCH SiOCH

(permittivité relative associée) (3,1) (2,5) (2,3) (2)

⋆Le pitch est la somme de la largeur d’une ligne et de l’espace qui la sépare d’une ligne adjacente

Une génération technologique, qui est principalement caractérisée par la largeur de grille

la plus faible, peut être aussi représentée par les dimensions et les matériaux utilisés pour les

interconnexions. Ces caractéristiques sont issues des recommandations fournies par l’Interna-

tional Technology Roadmap for Semiconductors (ITRS) qui est un organisme de collabora-

tion entre industriels, organisations gouvernementales et universités. Le fruit de ses réflexions

se présente sous la forme d’une feuille de route à suivre évaluant les besoins pour l’industrie

des semiconducteurs à court et moyen terme afin d’assurer l’évolution des performances des

circuits intégrés. Le Tableau 1.1 montre ainsi l’évolution des dimensions et des paramètres

physiques du matériau isolant en fonction des générations technologiques considérées.

Les interconnexions s’étalent sur plusieurs niveaux de façon hiérarchisée (Tableau 1.1).

Chaque niveau d’interconnexion a un rôle bien spécifique. Les interconnexions dites locales,

correspondant aux premiers niveaux, connectent les transistors adjacents entre eux, ainsi

que les portes logiques d’un même bloc fonctionnel. De ce fait, leur longueur est faible.

Les interconnexions intermédiaires connectent les différents blocs fonctionnels distribuant

le signal d’horloge. Elles sont plus larges et plus espacées que les niveaux locaux. Enfin,

les interconnexions globales, se situant aux derniers niveaux sont les plus longues ; elles

correspondent aux lignes de bus, d’alimentation et d’horloge. Nous verrons par la suite

l’intérêt de cette hiérarchisation.

1.1.1.2 Procédés de fabrication

Les interconnexions s’étalent donc sur plusieurs niveaux qui sont reliés entre eux par

des vias (Figures 1.1.a et b). La formation d’un niveau d’interconnexions cuivre repose sur

une succession d’étapes élémentaires : dépôt, photolithographie et gravure, remplissage et

aplanissement. Nous ne détaillerons ici que les techniques de dépôt.

Tout d’abord, le dépôt par voie chimique en phase vapeur (CVD - Chemical Vapor De-

6

1.1. INTERCONNEXIONS CUIVRE

(a) Image d’un réseau d’interconnexions prise au mi-

croscope électronique à balayage.

(b) Coupe schématique d’un réseau d’intercon-

nexions (source : ITRS 2003 [ITR03]).

Figure 1.1 : Illustrations des interconnexions.

position) consiste à déposer un matériau sur un substrat à partir de précurseurs gazeux qui

réagissent à la surface du substrat. Pour fournir l’énergie d’activation nécessaire au déclen-

chement de la réaction chimique qui peut n’être qu’une simple réaction de décomposition, le

substrat est chauffé à des températures élevées, pouvant dépasser le budget thermique toléré

pour préserver les propriétés fonctionnelles des transistors, qui est limité autour de 400oC.

Pour limiter cette élévation de température, le dépôt CVD peut être assisté par un plasma

qui fournit alors l’énergie nécessaire à la réaction chimique (PECVD - Plasma Enhanced

Chemical Vapour Deposition). Le contrôle des conditions liées aux précurseurs gazeux per-

met de modifier et contrôler les propriétés finales du matériau déposé. De plus, comme les

réactions chimiques se passent à la surface du substrat, le matériau formé est relativement

conforme. Le procédé PECVD est principalement utilisé pour le dépôt de diélectriques.

Ensuite, la pulvérisation cathodique fait partie des procédés de dépôt par voie physique

en phase vapeur (PVD - Physical Vapor Deposition). Elle consiste à vaporiser les atomes

d’une cible sous l’effet d’un bombardement plasma. Une partie seulement des atomes se

dépose alors sur la surface du substrat. C’est pourquoi, le dépôt par PVD peut être assisté

par une tension de polarisation vers le substrat permettant d’ioniser tous les atomes libérés,

de les diriger et de les accélérer vers le substrat. Ceci permet de remplir les fonds de tranchées

avec une vitesse de dépôt élevée. Il est possible de déposer de nombreux métaux et alliages,

mais de façon non conforme. Cette technique est principalement utilisée pour le dépôt de la

barrière de diffusion métallique à base de Ta et pour le dépôt d’une couche de cuivre servant

à la croissance électrolytique de cuivre.

Enfin, le dépôt par voie électrolytique (ECD - Electro-Chemical Deposition) consiste à

réduire un métal présent sous forme ionique en solution sur une cathode grâce à l’apport

d’un courant extérieur. Il est possible de modifier les caractéristiques du dépôt en modifiant

7

CHAPITRE 1. INTERCONNEXIONS DES CIRCUITS INTÉGRÉS

le courant, la température ou en ajoutant des additifs pour réguler la cinétique des réactions.

Ce procédé de dépôt est utilisé pour le remplissage des lignes et des vias par du cuivre du

fait de son faible coût et de sa cinétique élevée.

1.1.1.3 Étapes de fabrication d’une interconnexion cuivre

Le mode de réalisation d’un niveau d’interconnexion cuivre est fondé sur le procédé dit

« damascène », du nom du travail de décoration qui consiste à graver des motifs dans des ob-

jets puis à les remplir par du métal. Il diffère ainsi de celui de l’aluminium pour lequel le métal

est gravé. Le remplacement de l’aluminium par le cuivre se justifie entre autre par une plus

forte conductivité thermique (σmassif

Cu= 0, 94 cal/cm2/oC/s et σ

massif

Al= 0.5 cal/cm2/oC/s)

et électrique (ρmassif

Cu= 1, 70 µΩ · cm et ρ

massif

Al= 2, 74 µΩ · cm) que l’aluminium [Kit83]. En

contre partie, contrairement à l’aluminium, le cuivre ne génère pas de couche auto-passivante.

Il diffuserait donc aisément dans l’isolant, dégradant les performances des interconnexions

et des transistors, s’il n’était pas entouré par des barrières métalliques et diélectriques.

Nous allons décrire de façon simplifiée les différentes étapes nécessaires à la réalisation

d’un niveau double damascène cuivre en prenant pour exemple une technologie 90 nm et un

schéma d’intégration appelé Trench First Hard Mask (TFHM : gravure en premier lieu de

l’empreinte de la ligne dans le masque dur TiN) [Hin04]. Pour cela, considérons le cas où un

niveau inférieur vient d’être terminé par le dépôt d’une couche de SiCN (Figure 1.2.a)

Étape de dépôt

L’étape suivante consiste à déposer le matériau isolant à faible permittivité diélectrique

(560 nm de SiOC) par PECVD, suivi du dépôt d’un masque dur diélectrique (SiO2) et d’un

masque dur métallique (TiN) (Figure 1.2), qui serviront pour les étapes de photolithographie,

de gravure et de polissage mécano-chimique (CMP - Chemical and Mechanical Polishing).

(a) Empilement du ni-

veau inférieur.

(b) Dépôt du SiOC et

des masques durs.

Figure 1.2 : Réalisation d’un niveau d’interconnexion : dépôt du diélectrique à faible per-

mittivité et des masques durs.

8

1.1. INTERCONNEXIONS CUIVRE

Étape de photolithographie et de gravure

Après révélation d’une résine insolée à travers un masque définissant l’emplacement des lignes

(Figure 1.3.a), le masque dur métallique est gravé. De nouveau, une résine est déposée, insolée

et révélée définissant l’emplacement des vias (Figures 1.3.a-b). Le via est alors gravé, de façon

physique et chimique dans toute la hauteur du matériau isolant, s’arrêtant sur la couche de

SiCN (Figures 1.3.b-c). Une résine est alors déposée afin de protéger le fond des vias durant

l’étape de gravure de la ligne qui suit. Après retrait de la résine restante en fond de via, le

SiCN sous le via est ouvert (Figures 1.3.c-d). Enfin, une étape de nettoyage permet de retirer

les résidus générés durant la gravure.

(a) Dépôt et insolation

d’une résine.

(b) Après gravure du

TiN, dépôt et insolation

d’une autre résine.

(c) Gravure des vias. (d) Gravure des lignes.

Figure 1.3 : Réalisation d’un niveau d’interconnexion : étapes de photolithographie et de

gravure.

Étape de remplissage

Une couche formée de 15 nm de TaN et une de 10 nm de Ta, jouant le rôle de barrière contre

la diffusion du cuivre, est déposée par PVD sur les parois des cavités formant les vias et

les lignes. Comme le Ta avec le cuivre, le nitrure de Ta présente une forte adhésion avec

le diélectrique à faible permittivité . Ensuite, une couche d’accroche est déposée par PVD

(12 nm de Cu) pour la croissance électrolytique du cuivre. Le remplissage cuivre par ECD à

la fois des vias et des lignes fait la spécificité du procédé double damascène. Un recuit dans

un four (20 min à 400oC) est alors nécessaire pour donner au cuivre sa microstructure finale

(Figures 1.4.a). Un polissage mécano-chimique (CMP) permet de retirer les matériaux en

excès, et d’aplanir la surface jusqu’au masque dur SiO2 servant aussi de couche permettant le

contrôle de fin d’aplanissement. Enfin, une barrière diélectrique (40 nm de SiCN) est déposée

par PECVD sur toute la surface, complétant ainsi la formation d’un niveau d’interconnexion

(Figures 1.4.b).

La réitération de ces étapes permet de fabriquer l’ensemble des niveaux des intercon-

nexions. Il existe bien entendu de nombreuses variantes à ce schéma d’intégration, en fonction

9

CHAPITRE 1. INTERCONNEXIONS DES CIRCUITS INTÉGRÉS

(a) Remplissage métal-

lique et recuit.

(b) CMP et dépôt d’une

barrière diélectrique.

Figure 1.4 : Réalisation d’un niveau d’interconnexion : dépôt de matériaux métalliques,

polissage mécano-chimique et encapsulation supérieure des lignes.

des dimensions et des matériaux utilisés, i.e. selon le niveau ou la génération technologique

considérée. Il en est de même pour les étapes de gravure et de recuit. Par exemple, le via peut

être gravé dans un premier temps de façon partielle, puis c’est avec la gravure de la ligne

que le via va se former dans toute sa hauteur : c’est une architecture dite via partiel. Par

ailleurs, il existe des recuits appelés Hot Plate (HP) qui ne se font plus dans un four, mais

sur un plateau chauffé à 250oC se trouvant dans la machine de dépôt cuivre ECD elle-même.

1.1.2 Caractéristiques des interconnexions

Comme énoncé précédemment, un réseau d’interconnexions a pour vocation de trans-

mettre des signaux entre transistors et entre les transistors et l’extérieur. Il peut se caracté-

riser par un circuit RC. Considérons le cas simplifié de deux lignes adjacentes et parallèles

(Figure 1.5.a). Le circuit RC associé (Figure 1.5.b) permet de rendre compte des paramètres

critiques : la résistance R d’une ligne et la capacité de couplage C sont définies par les

équations 1.1 et 1.2.

R =ρL

wh(1.1)

C =ε0εr

Lh

s(1.2)

où L est la longueur, w la largeur, h la hauteur et ρ la résistivité de la ligne métallique, s

l’espace séparant les deux lignes, ε0 et εr

respectivement les permittivités du vide et relative

du matériau isolant. Selon cette nomenclature, le pitch p est défini par p = w + s.

L’équation différentielle temporelle associée au circuit RC est défini par l’équation 1.3

et sa forme fréquentielle complexe est décrite par l’équation 1.4 avec Ve

et Vs

qui sont les

tensions d’entrée et de sortie, t et ω le temps et la fréquence.

dVs(t)

dt+ RCV

s(t) = V

e(t) (1.3)

10

1.1. INTERCONNEXIONS CUIVRE

(a) Caractéristiques des lignes d’interconnexions.(b) Modèle électrique RC des lignes d’intercon-

nexions.

Figure 1.5 : Modélisation simple des interconnexions.

Vs(ω)

Ve(ω)

=1

1 + jRCω(1.4)

Une solution de l’équation 1.3 est donnée par l’équation 1.5, en considérant le cas parti-

culier où Ve

est un signal créneau de valeur 0 pour t = 0- et E pour t = 0+ (Figure 1.6.a).

Vs

= E(1 − e- t

RC ) (1.5)

Soit t50% le temps de retard pris par le signal de sortie par rapport au signal d’entrée tel

que Vs(t50%) = 50% V

e.

t50% = RC ln(2) (1.6)

Le temps de retard pris par le signal de sortie par rapport au signal d’entrée, appelé délai,

est donc directement proportionnel au produit RC, qui par conséquent doit être minimisé.

La Figure 1.6.b représente le gain, défini par l’équation 1.7, en fonction de la fréquence :

Gain = 20 log

(∣

Vs

Ve

)

= −10 log

(

1 + (RCω)2)

(1.7)

D’après la Figure 1.6.b, le circuit RC est un filtre passe bas, où 1

RCcorrespond à la

pulsation jusqu’à laquelle la perte du signal de sortie par rapport au signal d’entrée est

inférieure à 3 dB. Par conséquent, le produit RC doit être le plus faible possible pour

permettre l’utilisation de fréquences élevées.

En conclusion, bien que ce modèle très simplifié ne rende pas compte avec exactitude

des propriétés du signal se propageant dans les interconnexions, il donne cependant une

tendance de l’influence de paramètres clefs comme le produit entre la résistance et la capa-

cité de couplage. Ce produit RC dépend de paramètres physiques (ρ et εr) et géométriques

11

CHAPITRE 1. INTERCONNEXIONS DES CIRCUITS INTÉGRÉS

0

Tens

ion

(u.a

.)

Temps (u.a.)

Vs(t) Ve(t)=E

t50%

Délai

E

(a) Réponse du circuit RC à un signal créneau.

Gai

n (d

B)

Pulsation (u.a.)

20 log (|Vs / Ve|)

c=1

RC

-3dB

(b) Diagramme de Bode en gain du circuit RC.

Figure 1.6 : Réponses temporelle et fréquentielle du circuit RC.

(dimensions). Il doit être le plus faible possible pour que les interconnexions puissent trans-

mettre un signal avec un retard minimum et à des fréquences élevées. C’est pourquoi, afin

d’optimiser la distribution du signal, les interconnexions s’étalent sur plusieurs niveaux de

façon hiérarchisée, chaque niveau ayant un rôle bien spécifique. Les interconnexions inter-

médiaires qui distribuent le signal d’horloge sont plus larges que les niveaux locaux ce qui a

pour conséquence directe de diminuer la résistance des lignes. Les interconnexions globales,

correspondant aux lignes de bus, d’alimentation et d’horloge, sont aussi beaucoup plus larges

que celles des niveaux inférieures afin de réduire leur résistance.

Néanmoins, la course à la miniaturisation des composants ne joue pas en la faveur d’une

diminution du produit RC. Ce sont alors les paramètres physiques, et donc l’introduction de

nouveaux matériaux dans les interconnexions qui vont permettre de compenser l’augmenta-

tion du produit RC.

1.2 Conséquences de la miniaturisation

1.2.1 Temps de retard à la propagation du signal

Le retard pris par le signal lors de sa propagation dans l’ensemble d’un circuit intégré

est généré à la fois dans sa partie active (transistors) et sa partie passive (interconnexions).

Soit τ le délai accumulé dans un transistor :

τ =C.V

I(1.8)

avec C la capacité totale que l’on peut approximer au premier ordre comme égale à la capacité

de l’oxyde de grille Cox

et I :

12

1.2. CONSÉQUENCES DE LA MINIATURISATION

I = µCox(V

g− V

T)W

L(1.9)

avec Vg

et VT

respectivement les tensions de grille et de seuil, W la largeur de grille et L la

longueur de grille. Il vient donc en première approximation que τ ≃ L.

Le délai accumulé dans le partie active, qui correspond à la durée nécessaire à la commu-

tation des transistors, est donc proportionnel à la longueur de grille des transistors. L’aug-

mentation de la densité des transistors décrite par la loi de Moore pour le passage d’une

génération technologique à une autre conduit donc à la réduction du délai dans les transis-

tors (Figure 1.7), accélérant par conséquent la commutation des portes logiques.

500 400 300 200 100

0

5

10

15

20

25

30

35

40

Tem

ps d

e re

tard

(u.a

.)

Noeud technologique (nm)

Retard interconnexions Retard portes logiques Retard total

Figure 1.7 : Illustration des contributions des composants actifs et passifs au temps de

retard global du circuit en fonction de la génération technologique en considérant identiques

pour chaque génération technologique les matériaux utilisés.

En ce qui concerne les interconnexions, une réduction d’un facteur 0, 7 de la largeur des

lignes et de leur espacement s’effectue lors du passage d’une génération à une autre, alors que

les longueurs des lignes augmentent du fait de la complexité croissante des interconnexions

et du nombre grandissant de blocs fonctionnels à connecter. D’après les Equations 1.1 et 1.2,

cette course à la miniaturisation conduit de façon inéluctable à l’augmentation du délai dans

les interconnexions.

Alors que la contribution des composants actifs diminue à chaque génération technolo-

gique, celle provenant des interconnexions augmente de façon exponentielle, devenant pré-

pondérante dès la génération 180 nm (Figure 1.7). Les interconnexions sont donc devenues

la partie la plus critique d’un circuit intégré en terme de dégradation de la propagation du

13

CHAPITRE 1. INTERCONNEXIONS DES CIRCUITS INTÉGRÉS

signal. Nous allons décrire les solutions proposées afin de limiter la dégradation du signal en

considérant tout d’abord la résistance, puis la capacité de couplage.

1.2.1.1 Evolution de la résistance des lignes

Afin de palier à l’augmentation de la résistance lors du passage vers un nouveau nœud

technologique, les matériaux métalliques introduits doivent présenter les résistivités les plus

faibles possibles, comme l’illustre le passage de l’aluminium au cuivre.

Néanmoins, ce passage de l’aluminium au cuivre peut être considéré comme une « révo-

lution » architecturale. En effet, le passage d’une génération à une autre consiste en général

à limiter au maximum les modifications de procédés, de matériaux et surtout d’architecture

d’intégration, afin de limiter les coûts à investir pour cette transition. Or, le remplacement

de l’aluminium par le cuivre a été une rupture majeure en terme d’intégration, nécessitant

le développement d’une nouvelle architecture d’intégration (approche damascène) ainsi que

l’utilisation de procédés spécifiques et l’introduction de matériaux nouveaux comme ceux

utilisés pour jouer le rôle de barrières contre la diffusion du cuivre.

Figure 1.8 : Effet du passage d’une technologie 180 nm à 65 nm sur la surface occupée

par le cuivre dans la section d’une ligne, en gardant une épaisseur de barrière métallique

constante de 25 nm.

L’intégration de ces barrières engendre des contraintes supplémentaires. Par exemple, les

barrières métalliques dont la résistivité est bien plus élevée que celle du cuivre, diminue le

volume de cuivre occupé dans une ligne. Dans un cas purement théorique, en considérant une

barrière de 25 nm d’épaisseur déposée de façon continue et conforme sur les flancs et le fond

des lignes, le cuivre correspondrait à 80% de la section d’une ligne aux dimensions proches

de la génération 180 nm et cette proportion diminuerait jusqu’à 45% pour des dimensions

proche de la génération 65 nm, augmentant de ce fait la résistance de la ligne (Figure 1.8).

Bien que cet exemple soit à pondérer par le fait que les barrières métalliques sont moins

14

1.2. CONSÉQUENCES DE LA MINIATURISATION

épaisses sur les flancs que sur le fond des lignes (car le dépôt par PVD est non conforme), il

souligne tout de même l’intérêt de réduire l’épaisseur de ces barrières métalliques. Pour cela,

des procédés permettant de déposer de fines couches continues de barrière tels que le dépôt

de mono-couches atomiques de barrière TaN [Bes04b] (ALD - Atomic Layer Deposition)

sont étudiées ; cette approche permet de maximiser le volume de cuivre dans la ligne et par

conséquent de diminuer le produit RC.

D’autre part, la résistivité du cuivre dans une ligne varie avec ses dimensions [Ste05,

Che98, Sch03]. En effet, elle croît significativement et de façon non-linéaire avec la réduction

de la largeur w des lignes. Ce phénomène, qui s’observe principalement pour les petites

dimensions (largeur de lignes inférieures à 200 nm), correspond à une contribution croissante

de la réflexion des électrons aux joints de grains et aux interfaces. L’équation 1.10 [Ste05]

montre l’évolution de la résistivité avec la largeur efficace we

des lignes1 et pour laquelle AR

correspond au facteur de forme2, C à une constante liée à la géométrie des lignes, p à la

spécularité (réflexion aux interfaces entre le cuivre et les matériaux entourant la ligne), d à

la distance entre les joints de grains, R au coefficient de réflexion aux joints de grains, ρ0 à la

résistivité du matériau massif associé au libre parcours moyen des électrons dans le cuivre λ

(λCu

=40 nm) et α =λR

d(1−R). La Figure 1.9, qui traduit cette équation pour une hauteur fixe

de 150 nm, montre que la résistivité du cuivre augmente de 15 % entre les générations 65 nm

et 32 nm, passant de 2, 7 (we=90 nm) à 2, 95 µΩ · cm (w

e=50 nm) dans le cas théorique où le

cuivre occuperait toute la ligne (w=we). Il est clair qu’en présence d’une barrière métallique,

la largeur efficace de la ligne est plus petite (we<w) et par conséquent, l’augmentation de la

résistivité est accrue.

ρCu

= ρ0

(

3

8C(1 − p)

1 + AR

AR

λ

we

+1/3

1/3 − α/2 + α2− α3 ln(1 + 1/α)

)

(1.10)

Bien que le passage du cuivre à un métal moins résistif (pour la gamme de dimensions

considérée) soit une solution logique pour réduire davantage la résistance des interconnexions,

ce changement nécessiterait des investissement colossaux en terme de développement de pro-

cédés et de matériaux, ce qui n’est pas acceptable d’un point de vue industriel. Les techno-

logies cuivre avancées doivent donc faire face à une inévitable dégradation de la résistance

des lignes accompagnée d’une dispersion de la résistivité du cuivre en fonction des motifs.

Comme le délai est proportionnel au produit RC, la capacité de couplage doit compenser

l’augmentation de la résistance pour préserver le délai.

1La largeur efficace d’une ligne correspond à la largeur qu’occupe le cuivre, i.e. la largeur de la ligne

moins celle de la barrière métallique : we<w.2AR - Aspect Ratio : c’est le rapport de la hauteur d’une ligne sur sa largeur.

15

CHAPITRE 1. INTERCONNEXIONS DES CIRCUITS INTÉGRÉS

0,01 0,1 1

2

3

4

5

90 nm50 nm

2,7 µ .cm

Rés

istiv

ité (µ

.cm

)

Largeur efficace de ligne (µm)

2,95 µ .cm

Figure 1.9 : Variation de la résistivité du cuivre en fonction de la largeur pour une ligne

de 150 nm de haut avec R, le coefficient de réflexion aux joints de grains égal à 0,13 et p la

spécularité égale à 0,25 [Ste05].

1.2.1.2 Evolution de la capacité de couplage

Matrice isolante

Pour compenser l’augmentation de la capacité de couplage avec la réduction des di-

mensions, de nouveaux matériaux isolants dont la permittivité décroît à chaque nouvelle

génération technologique sont introduits. Ces matériaux sont appelés low-κ ou Ultra-Low-κ

(ULK) avec κ la permittivité relative en notation anglo-saxone fixée par l’ITRS. Le premier

matériau isolant utilisé fût l’oxyde de silicium (εSiO2

r= 4, 2 [Spe04]). Pour réduire sa permit-

tivité, le SiO2 fût dans un premier temps dopé par du fluor (εSiOF

r= 3, 8 [Bar00]) puis par

du carbone (εSiOC

r= 3, 1 [Ché05]). Pour aller plus loin dans la réduction de la permittivité,

de la porosité est introduite au sein de la matrice isolante formée par la présence de groupe-

ments methyl -CH3 [Cha04], pouvant atteindre une permittivité relative de l’ordre de 2 en

jouant sur la quantité de pores, leur distribution et leur taille (pour le 65 nm, εSiOCH

r= 2, 5

[Hum05]).

Du fait de la composition proche de ces matériaux diélectriques à faible permittivité,

l’architecture d’intégration damascène est transposable d’une génération technologique à

une autre. Ceci nécessite bien entendu un développement de procédés et de matériaux, mais

bien moins important et coûteux que dans le cas d’un changement de matériau conducteur.

Barrière diélectrique

La barrière diélectrique, qui compose aussi la matrice isolante, est utilisée pour empêcher

la diffusion du cuivre, qui diffuse dans les diélectriques sous forme ionique [Fuk04, Zub02]. De

ce fait, cette diffusion est favorisée par la présence d’oxygène au sein des diélectriques à faible

16

1.2. CONSÉQUENCES DE LA MINIATURISATION

Tableau 1.2 : Exemple de permittivités de la matrice isolante et de la barrière diélectrique

associée.

Génération 120 nm 90 nm 65 nm

Matrice isolante SiOF SiOC SiOCH

(permittivité relative associée) (3, 8) (3, 1) (2,5)

Barrière diélectrique SiN SiCN SiCN

(permittivité relative associée) (7) (5) (5)

50

100

150

200

Cap

acité

tota

le (u

.a.)

Noeud technologique (nm)

Avec barrière diélectrique Sans barrière diélectrique

90 65 45

-5%

-9%

-12%

Figure 1.10 : Gain sur le délai pour différents nœuds technologiques en retirant une barrière

de 40 nm de SiCN.

permittivité, comme le SiO2, SiOC ou SiOCH, car l’énergie nécessaire à la formation d’ions

cuivre à partir d’oxyde de cuivre est plus faible que celle nécessaire à partir du cuivre massif

[Fuk04]. C’est pourquoi ces barrières sont typiquement exemptes d’oxygène. Néanmoins,

elles dégradent les capacités de couplage du fait de leur plus forte permittivité que celle de

la matrice isolante utilisée (Tableau 1.2).

Alors que la contribution à la capacité de couplage latérale d’une barrière diélectrique

SiCN de 40 nm était de 5 % pour la génération 90 nm (εSiOC

r= 3, 1), elle sera de l’ordre de

de 12 % pour la génération 45 nm (εSiOCH

r= 2, 3) (Figure 1.10) [Gos04]. Ce résultat montre

que pour maintenir une faible permittivité effective des interconnexions, l’introduction de

matériaux à faible permittivité nécessite en plus de réduire la contribution de la barrière

diélectrique [Got05], soit en réduisant son épaisseur, soit en réduisant sa permittivité [Shi04],

mais éventuellement au détriment de son efficacité barrière [Vit06]. Enfin, une solution serait

soit de retirer la barrière diélectrique entre les lignes de cuivre, soit de remplacer ces barrières

17

CHAPITRE 1. INTERCONNEXIONS DES CIRCUITS INTÉGRÉS

diélectriques par des barrières (diélectriques ou métalliques) localisées uniquement sur les

lignes de cuivre, supprimant ainsi le couplage intra-niveau.

En conclusion, la fréquence de fonctionnement d’un circuit intégré peut être élevée (de

l’ordre de 3 à 4 GHz dans le cas d’un microprocesseur) grâce à la réduction des dimensions

des transistors et à l’introduction de matériaux à très faible permittivité. Cette fréquence

est en soi un critère de performance, mais il devient futile si la durée de vie du circuit

intégré est faible. Nous allons donc voir quel phénomène majeur limite la durée de vie des

interconnexions.

1.2.2 Temps de vie des interconnexions

La durée de vie d’un circuit intégré correspond au temps nécessaire pour la création d’un

défaut critique conduisant à son disfonctionnement. Ce défaut peut apparaître soit dans

la partie active soit dans la partie passive d’un circuit. Nous allons nous focaliser sur le

phénomène considéré comme limitant la fiabilité des interconnexions : l’électromigration.

1.2.2.1 Phénomène d’électromigration

L’électromigration se définit comme un transfert de quantité de mouvement entre le

flux d’électrons du courant électrique et les atomes de cuivre, entraînant leur déplacement

(Figure 1.11) [Hu04b, Lee02]. La migration des atomes est favorisée par la présence de défauts

comme les lacunes, les joints de grains ou les interfaces. Une divergence du flux d’atomes

conduit à la formation d’une cavité au sein de la ligne par l’accumulation de lacunes qui

réduit la section conductrice de la ligne jusqu’à la rompre (Figure 1.12).

La durée de vie des lignes dépend de leurs dimensions, mais également de la micro-

structure du cuivre. Ainsi, dans le cas des lignes larges, la structure du cuivre présente une

alternance de sections polycristallines et monocristallines ; elle est dite quasi-bambou (Fi-

Figure 1.11 : Schéma d’une diffusion lacunaire d’un atome de cuivre sous un flux d’élec-

trons.

18

1.2. CONSÉQUENCES DE LA MINIATURISATION

Figure 1.12 : Coupe longitudinale prise au microscope électronique à balayage d’une ligne

de cuivre après passage d’un fort flux d’électrons montrant deux cavités formées à l’interface

cuivre / barrière diélectrique dans la hauteur de la ligne (après rupture de la ligne).

Figure 1.13 : Différentes configurations microstructurales des lignes en fonction de leur

largeur.

gure 1.13). La diffusion est favorisée dans les structures polycristallines du fait de la présence

de nombreux joints de grains alors qu’elle est plus difficile dans les structures monocristal-

lines, car ces grains dits bloquants localisent les sites de divergence à l’origine des défaillances.

Dans le cas des lignes étroites, la structure du cuivre est dite bambou car la taille d’un grain

correspond à la largeur de la ligne. La matière est donc contrainte de diffuser en volume

et/ou aux interfaces. Ces deux types de structures se retrouvent donc dans les générations

avancées d’interconnexions.

1.2.2.2 Lieu de l’électromigration

L’énergie d’activation correspond à l’énergie nécessaire à la migration du cuivre selon

un type de chemin de diffusion. D’après le Tableau 1.3, comme elle est la plus faible pour

les interfaces, la migration du cuivre se fait donc préférentiellement entre le cuivre et ses

parois extérieures (barrières métallique et diélectrique). Dans le cas particulier d’une barrière

19

CHAPITRE 1. INTERCONNEXIONS DES CIRCUITS INTÉGRÉS

Tableau 1.3 : Énergie d’activation liée au chemin de diffusion des atomes de cuivre sous

un flux d’électrons dans une ligne.

Chemin de diffusion Énergie d’activation (eV)Cuivre massif [Phi85] 2, 15

Joints de grains [Bur70, Gup95, Sur94] 1, 2 ; 0, 92 ; 0, 85

Interfaces [Arn03] 0, 8 ; 1 ; 1, 06

métallique TaN/Ta présentant un contact entre le cuivre et le tantale, la durée de vie des

interconnexions, limitée par ce phénomène d’électromigration, est corrélée à l’adhésion entre

le cuivre et la barrière diélectrique supérieure [Llo02, Lan03] ; cette interface est donc le

chemin de diffusion préférentiel où ont lieu les phénomènes d’électromigration (Figure 1.12).

En conclusion, le choix d’un matériau diélectrique ou métallique pour la barrière supé-

rieure du cuivre afin d’optimiser la qualité de l’interface cuivre / barrière, est un élément

important pour la fiabilité des interconnexions.

1.2.2.3 Objectifs de la thèse

Avec la réduction des dimensions des interconnexions, la barrière diélectrique contre la

diffusion du cuivre devient un élément critique en termes de propagation du signal et de

fiabilité.

Pour obtenir un gain sur les performances de propagation du signal, il est nécessaire de

localiser des barrières (métalliques ou diélectriques) uniquement sur les lignes de cuivre. Pour

cela, deux approches sont possibles :

– Une barrière diélectrique est déposée de façon standard par PECVD (Figure 1.4.b).

La partie qui se trouve entre les lignes de cuivre peut être gravée par des étapes de

photolithographie et de gravure supplémentaires. Cependant, cette méthode requiert

un parfait alignement du masque définissant les sections à graver par rapport aux lignes

sous-jacentes ; dans le cas contraire, les lignes ne sont pas parfaitement recouvertes de

barrières, ce qui permet au cuivre de diffuser. Or une telle précision d’alignement

n’existe pas, ce qui rend cette technique impossible d’un point de vue industriel ;

– Après l’étape de CMP, des « barrières auto-positionnées » peuvent être introduites en

remplacement de barrières diélectriques standards et continues, sans nécessiter d’étapes

de photolithographie ni de gravure supplémentaires :

– Formation d’une barrière par un traitement de surface sélectif uniquement sur le

cuivre [Gos04, Chh05] ;

– Formation d’une barrière métallique localisée sur les lignes de cuivre (du W [Ash04,

Sai04], d’un alliage ternaire à base de Co [SD01] de Ni [Osa02] ou encore du MnSiO

[Hsu05]).

Pour améliorer la durée de vie des interconnexions, la surface du cuivre doit présenter une

20

1.2. CONSÉQUENCES DE LA MINIATURISATION

meilleure adhésion avec le matériau qui l’encapsule. Deux solutions sont alors envisageables :

– L’introduction d’un traitement de surface du cuivre [Lin05, DG05, Chh06] ou d’un

matériau métallique [Sai04, Hu04b] entre le cuivre et la barrière diélectrique ;

– Le remplacement de la barrière diélectrique par une barrière auto-positionnée (diélec-

trique ou métallique) permet en plus de diminuer la permittivité effective des inter-

connexions [Gos03, Chh04].

L’objectif de cette thèse est d’évaluer deux procédés dits auto-positionnés, le premier

fondé sur le traitement de la surface du cuivre par des étapes de siliciuration et de nitrura-

tion, appelé procédé CuSiN (pCuSiN), le second, sur le dépôt auto-catalytique d’un alliage

ternaire à base de cobalt, de tungstène et de phosphore et/ou de bore, appelé CoWP/B.

Ces matériaux issus des procédés auto-positionnés seront évalués en terme d’efficacité bar-

rière afin de déterminer le mode d’intégration le plus approprié. En effet, si un matériau

auto-positionné ne s’avère pas être une barrière, alors il ne peut pas être intégré en tant

que barrière auto-positionné mais doit être encapsulé par un diélectrique jouant le rôle de

barrière, pour former un empilement dit hybride. La compatibilité et l’influence de l’intro-

duction de ces procédés sur les performances électriques et de fiabilité seront discutées et

évaluées. L’étude du procédé CuSiN se focalise sur deux aspects : (i) la compréhension et la

détermination des mécanismes de formation d’une couche de CuSiN et (ii) son intégration.

En ce qui concerne le CoWP/B, matériau inhabituel dans les interconnexions cuivre, il s’agit

(i) d’évaluer sa compatibilité avec les matériaux et les procédés utilisés pour la fabrication des

interconnexions cuivre, (ii) de déterminer les étapes critiques qui pourraient empêcher son

intégration et enfin, (iii) de proposer des solutions architecturales et/ou de développement

de procédés pour faciliter son intégration.

21

Etude et intégration de procédés

auto-positionnés CuSiN de traitement de

la surface des lignes de cuivre et

évaluation de leurs effets sur les

performances électriques et de fiabilité

des interconnexions cuivre

23

Chapitre 2

Mécanisme de formation du CuSiN

Sommaire

2.1 Etude préliminaire . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25

2.1.1 Principe du procédé CuSiN . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25

2.1.2 Détermination des conditions des procédés CuSiN . . . . . . . . . . . . . . . . 27

2.1.2.1 Critères requis pour l’étape de siliciuration . . . . . . . . . . . . . . 27

2.1.2.2 Conditions des procédés CuSiN . . . . . . . . . . . . . . . . . . . . . 28

2.1.2.3 Détermination d’un procédé CuSiN type . . . . . . . . . . . . . . . . 29

2.2 Mécanisme de formation du CuSiN . . . . . . . . . . . . . . . . . . . . . . . 30

2.2.1 Choix d’un précurseur pour la siliciuration . . . . . . . . . . . . . . . . . . . . 30

2.2.2 Intérêt de l’étape de nitruration . . . . . . . . . . . . . . . . . . . . . . . . . . 32

2.2.2.1 Propriétés barrières . . . . . . . . . . . . . . . . . . . . . . . . . . . 32

2.2.2.2 Stabilisation du silicium . . . . . . . . . . . . . . . . . . . . . . . . . 36

2.2.3 Etude des propriétés de siliciuration . . . . . . . . . . . . . . . . . . . . . . . 38

2.2.3.1 Profondeur d’incorporation des atomes de silicium . . . . . . . . . . 38

2.2.3.2 Détermination du taux de siliciuration . . . . . . . . . . . . . . . . . 41

2.2.4 Proposition d’un mécanisme de formation . . . . . . . . . . . . . . . . . . . . 47

2.1 Etude préliminaire

2.1.1 Principe du procédé CuSiN

Nous avons précédemment montré que l’interface entre un métal et un diélectrique est

le chemin préférentiel où s’effectue l’électromigration du cuivre qui limite la durée de vie

des interconnexions. Il s’agit donc de modifier cette interface pour améliorer la fiabilité des

interconnexions. La barrière métallique TaN/Ta en est une parfaite illustration. En effet, le

TaN joue principalement le rôle de barrière [Hol92] alors que le Ta, qui présente une bonne

25

CHAPITRE 2. MÉCANISME DE FORMATION DU CUSIN

adhésion avec le cuivre grâce à la présence d’une zone mixte Cu-Ta [Hei03], permet de sup-

primer un chemin potentiel de migration des atomes de cuivre sous une flux d’électrons.

Basé sur ce concept, il s’agit d’ajouter un dépôt localisé d’une couche de silicium sur le

cuivre avant le dépôt PECVD d’une barrière diélectrique afin d’obtenir comme empilement

Cu/Si/Si(C)N. Néanmoins, le silicium réagit avec le cuivre pour former un composé de type

Cu3Si à la surface du cuivre dès 200 C [Sto91], température largement dépassée durant les

différentes étapes d’intégration. De ce fait, il ne peut pas y avoir d’interface Cu/Si mais un

gradient entre le cuivre et un composé de type CuSi : Cu/CuSi/Si(C)N. Enfin, comme les

barrières diélectriques SiN ou SiCN sont également composées d’azote, il est possible d’in-

corporer de l’azote dans la couche de CuSi pour améliorer davantage l’adhésion à l’interface

CuSi/Si(C)N : Cu/CuSiN/Si(C)N.

(a) Après CMP.(b) Après plasma

réducteur.

(c) Après siliciura-

tion.

(d) Après nitrura-

tion.

Figure 2.1 : Schéma de principe du procédé CuSiN.

Nous nous proposons d’étudier la formation d’une couche de CuSiN auto-positionnée sur

le cuivre par différents procédés CuSiN (pCuSiN) dont les objectifs sont :

– soit d’améliorer l’adhésion à l’interface entre le cuivre et la barrière diélectrique ;

– soit de remplacer la barrière diélectrique pour diminuer la permittivité effective des

interconnexions si le CuSiN s’avère être une barrière efficace contre la diffusion du

cuivre.

Les procédés CuSiN, qui peuvent être implémentés dans un équipement PECVD de

dépôt de barrières diélectriques, se décomposent en deux étapes majeures. Après l’étape

de CMP (Figure 2.1.a) et le retrait de l’oxyde natif de cuivre potentiellement présent à la

surface du cuivre par un plasma réducteur (à base d’ammoniac (NH3) ou d’hydrogène (H2)

[Guo97, Nog01, Ngw04]) (Figure 2.1.b), la première étape du procédé, appelée siliciuration,

consiste à incorporer des atomes de silicium dans le cuivre de façon contrôlée et limitée pour

former une couche de CuSi localisée à la surface du cuivre (Figure 2.1.c). Ce silicium provient

de précurseurs comme le silane (SiH4) ou le Tri-Methyl-Silane (TMS - SiH(CH3)3), qui sont

décomposés thermiquement à la surface du cuivre par CVD. Enfin, l’étape de nitruration

consiste en un plasma d’ammoniac qui permet d’incorporer de l’azote dans la couche de CuSi

précédemment formée pour créer une couche de CuSiN sur la surface du cuivre (Figure 2.1.d).

26

2.1. ETUDE PRÉLIMINAIRE

2.1.2 Détermination des conditions des procédés CuSiN

2.1.2.1 Critères requis pour l’étape de siliciuration

Les procédés CuSiN sont définis comme étant sélectifs, ce qui signifie que l’étape de

siliciuration ne doit incorporer des atomes de silicium que dans le cuivre et non sur/dans le

masque dur SiO2. D’après Takewaki et al. [Tak95], la décomposition du silane sur du cuivre

qui débute aux alentours de 200 C, est complète à partir de 250 C (Figure 2.2). Comme cette

décomposition sur le SiO2 ne débute qu’au delà de 400 C [Tak95], l’étape de siliciuration avec

un précurseur silane est considérée comme sélective pour des températures comprises entre

200 et 400 C, ce qui fixe la plage de températures disponibles pour les procédés CuSiN.

0 50 100 150 200 2500

100

200

300

400

500

600

Silane Hydrogène

Température en °C

SiH

4 con

cent

ratio

n (p

pm)

0

200

400

600

800 H2 concentration (ppm

)

Figure 2.2 : Décomposition du silane sur du cuivre en fonction de la température [Tak95].

D’autre part, l’introduction d’un procédé additionnel dans un schéma d’intégration stan-

dard doit idéalement peu dégrader les performances électriques des interconnexions par rap-

port au gain potentiel que ce procédé pourrait apporter, notamment en terme de fiabilité. Or,

l’incorporation de silicium dans le cuivre par l’étape de siliciuration dégrade inévitablement

la résistance des lignes de cuivre. En effet, l’incorporation d’impuretés dans le cuivre, comme

celle de silicium, augmente de façon significative la résistivité du matériau (Figure 2.3). Par

conséquent, le procédé de siliciuration correspond à un compromis :

– incorporer suffisamment de silicium dans le cuivre pour former une couche de CuSi ;

– limiter la quantité de silicium incorporé pour ne pas trop dégrader la résistance du

cuivre.

L’étape de siliciuration est donc une étape critique qu’il faut absolument maîtriser.

27

CHAPITRE 2. MÉCANISME DE FORMATION DU CUSIN

0,00 0,05 0,10 0,15 0,20 0,251,5

2,0

2,5 FeP

Rés

istiv

ité (

.cm

)

Concentration massique d'impuretés (%)

Fe Si As Cr

SbAlNi

Ag

Figure 2.3 : Variation de la résistivité du cuivre en fonction de la concentration d’impuretés

incorporées [Pop].

2.1.2.2 Conditions des procédés CuSiN [Arn01, Gos02a]

Afin de déterminer les conditions expérimentales permettant de former une couche de

CuSiN de façon contrôlée, il faut considérer deux aspects du procédé : (i) la quantité de

silicium incorporé dans le cuivre, qui dépend de la température du procédé, de la dilution du

précurseur contenant du silicium et du temps de la siliciuration et (ii) le moment et la manière

d’incorporer de l’azote par rapport à l’étape de siliciuration : soit de façon séquentielle

(siliciuration par CVD SiH4 puis nitruration par plasma NH3) soit de façon simultanée

(CVD de SiH4 et NH3). Pour cette étude préliminaire, seul le précurseur SiH4 est considéré.

Afin d’obtenir à la fois une décomposition totale du précurseur et une sélectivité de procédé

(Paragraphe 2.1.2.1), les propriétés de procédés réalisés à 300 et 400 C sont caractérisés

(Tableau 2.1).

Après le traitement du cuivre par les procédés CuSiN définis dans le Tableau 2.1, la

profondeur d’incorporation du silicium dans le cuivre est évaluée par spectroscopie de masse

des ions secondaires (SIMS - Secondary Ion Mass Spectroscopy). Des mesures de résistances

quatre pointes [Smi58] sont mises en œuvre avant et après les procédés CuSiN afin de déter-

miner leur influence sur la dégradation de la résistance du cuivre, mais aussi après un recuit

à 450 C sous atmosphère inerte durant 30 minutes. Ce recuit, qui a pour but de simuler le

budget thermique subi par l’empilement s’il était intégré dans des interconnexions, permet

de favoriser la diffusion des éléments et de déterminer la stabilité de la couche de CuSiN

formée.

28

2.1. ETUDE PRÉLIMINAIRE

Tableau 2.1 : Conditions expérimentales pour l’étude préliminaire des procédés CuSiN.

Temp. N2 SiH4 Durée SiH4 NH3 Durée NH3

( C) (sccm⋆) (sccm) (sec) (sccm) (sec)

Séquentiel A1 400 4000 40 10 145 120

Séquentiel A2 300 4000 40 10 145 120

Séquentiel A3 400 4000 400 10 145 120

Simultané B1 400 2500 60 30 80 30

Simultané B2 400 2500 60 60 80 60

⋆sccm - standard cubic centimeters per minute : cm3.min−1.

2.1.2.3 Détermination d’un procédé CuSiN type [Arn01, Gos02a]

Une mesure de résistance quatre pointes donne en théorie une valeur de résistance surfa-

cique. Elle est donc dépendante de l’intensité du pic de silicium à la surface de l’échantillon.

Néanmoins, bien que les pics de silicium des échantillons A1, A3, B1 et B2 soient à la même

hauteur, ils n’ont pas la même valeur de résistance surfacique (Figure 2.4). Cette résistance

est d’autant plus élevée que le silicium s’incorpore profondément. La mesure de résistance

quatre pointes correspond donc à une mesure de résistance surfacique mais elle reflète aussi

une partie de la résistance du volume du cuivre en proche surface.

Les procédés CuSiN, pour lesquels l’incorporation de silicium et d’azote se fait de façon

simultanée, i.e. par CVD de SiH4 et NH3, présentent une augmentation de résistance et

A1 A2 A3 B1 B205101520253035120140160

Var

iatio

n de

la ré

sist

ance

(%)

Procédé

Non Recuit Recuit 450°C

(a) Variation de la résistance de cuivre après procédé

CuSiN et recuit 450 C durant 30 min.

0 20 40 6010-1

100

101

102

103

Inte

nsité

(u.a

.)

Profondeur (nm)

A1 A2 A3 B1 B2

(b) Profils SIMS du silicium suivis par Cs+ selon

le type de procédé effectué.

Figure 2.4 : Influence des procédés CuSiN sur la résistance du cuivre et la profondeur

d’incorporation du silicium.

29

CHAPITRE 2. MÉCANISME DE FORMATION DU CUSIN

un profil de silicium dans le cuivre indépendants de la durée du procédé (Figure 2.4), i.e.

de la quantité de silicium apporté. Cette auto-limitation dans le processus d’incorporation

de silicium peut provenir de la formation d’une couche de nitrure de silicium par CVD à

la surface du cuivre empêchant l’incorporation de silicium [Nog01]. De ce fait, le procédé

faisant intervenir à la fois le silane et l’ammoniac ne peut être retenu car il ne permet pas

de contrôler la profondeur d’incorporation de silicium dans le cuivre.

Lorsque la nitruration suit l’étape de siliciuration, l’intensité du pic de silicium à la surface

du cuivre et la profondeur d’incorporation du silicium augmentent avec la température et

la quantité de silicium disponible (Figures 2.4.a et b). Seul le procédé A2, pour lequel, la

température et la concentration sont les plus faibles, présente une couche de CuSiN localisée

sous la surface du cuivre et non dans son volume (peu de dégradation de la résistance),

montrant ainsi que la température et la concentration en silane sont deux paramètres clefs

pour le contrôle de la siliciuration. De plus, contrairement à A2, les procédés A1 et A3 ne

sont pas stables sous une contrainte thermique (Figures 2.4.a) car après recuit, la diffusion de

silicium est plus profonde dans le cuivre. Ce résultat montre donc que la proportion d’azote

incorporée par rapport à celle de silicium doit être suffisante pour stabiliser la couche de

siliciure de cuivre sous une contrainte thermique.

Pour conclure, les procédés de siliciuration et de nitruration doivent être appliqués suc-

cessivement pour permettre le contrôle de l’incorporation de silicium dans le cuivre. Afin de

localiser la couche de CuSiN sous la surface du cuivre et donc, limiter la dégradation de la

résistance du cuivre, la température du procédé et la concentration de silane doivent être

minimisées (300 C à 1 % de silane).

2.2 Mécanisme de formation du CuSiN

2.2.1 Choix d’un précurseur pour la siliciuration

Nous avons montré précédemment que l’incorporation de silicium dans le cuivre devait

être limitée afin de ne pas dégrader la résistance du cuivre, soit en minimisant la quantité

de silicium apportée, soit en limitant la température du procédé.

D’un point de vue industriel, la température est un paramètre peu flexible. Les procédés

CuSiN s’effectuent généralement dans une chambre de dépôt de barrière diélectrique d’un

équipement PECVD. La température de dépôt, qui est fixée par le support chauffant, est

spécifique à un type de procédé de barrière diélectrique car toute montée ou descente en tem-

pérature conduit à la diminution du rendement de l’équipement due au délai induit par sa

stabilisation1. Une différence de température entre le procédé CuSiN et celle d’une barrière

diélectrique empêche donc leur utilisation dans la même chambre. Or, la température opti-

1La montée ou la descente en température nécessite un certain délai avant la stabilisation de la tempéra-

ture visée, ce qui diminue le nombre global de plaques traitées par unité de temps.

30

2.2. MÉCANISME DE FORMATION DU CUSIN

Figure 2.5 : Représentation tri-dimensionnelle (à gauche) du silane (SiH4) et (à droite) de

tri-méthyl-silane (TMS - SiH(CH3)3) et du volume qu’ils occupent.

0 500 1000 1500 2000100

101

102

103

104

105

Inte

nsité

(u.a

.)

Temps d'érosion (s)

Si (Silane) Cu (Silane) Si (TMS) Cu (TMS)

SiO2Cu

Figure 2.6 : Profils du silicium et du cuivre après procédé CuSiN avec silane ou tri-méthyl-

silane suivi d’un dépôt de SiO2.

male d’un procédé CuSiN peut largement différer de celle du dépôt de la barrière diélectrique

(pCuSiN à 300 C et dépôt de SiCN entre 325 et 400 C).

Une solution pour réduire la quantité de silicium à incorporer sans modifier la température

de procédé serait soit de réduire davantage la quantité de silane (diminuer le temps de

siliciuration, le débit de silane...) soit d’utiliser un autre précurseur à base de silicium qui se

décompose moins facilement ou qui propose moins de silicium. Pour la première solution, 10

secondes et 40 sccm (Tableau 2.1) sont les limites basses de fonctionnement de l’équipement

utilisé (limite de sensibilité des débimètres). Pour la seconde solution, le Tri-Méthyl-Silane

31

CHAPITRE 2. MÉCANISME DE FORMATION DU CUSIN

Tableau 2.2 : Variation de la résistance (mesure 4 pointes) après procédé CuSiN selon le

précurseur utilisé.

Procédé pCuSiNSilane

pCuSiNTMS

Réf.SiCN

∆R(%) 3, 6 0 0, 2

(TMS) semble être un bon candidat du fait de ses liaisons Si-C (451,5 kJ.mol−1 à 298 K

[Lid03]) plus difficiles à rompre que les liaisons Si-H du silane (< 299,2 kJ.mol−1 à 298 K

[Lid03]) et du volume plus important de la molécule (Figure 2.5).

Pour valider le choix du précurseur TMS, deux procédés CuSiN à 300 C utilisant soit le

silane (40 sccm de SiH4 dilués dans 4000 sccm de N2) soit le TMS (50 sccm de TMS2 dilués

dans 4000 sccm de N2) pour la siliciuration sont appliqués à la surface du cuivre, suivis de

la même étape de nitruration. Dans le cas du CuSiN avec TMS, l’incorporation de silicium

dans le cuivre est beaucoup moins importante que dans le cas du silane (Figure 2.6), ce qui

se traduit par une plus faible augmentation de la résistance du cuivre (Tableau 2.2). Ces

résultats confirment donc l’intérêt d’évaluer le TMS comme un précurseur pouvant fournir

une plus faible quantité de silicium.

Il s’agit désormais de déterminer si ces deux précurseurs remplissent les critères requis

pour les procédés CuSiN. Nous montrerons tout d’abord le rôle de l’étape de nitruration pour

le procédé CuSiN, tout en comparant silane et TMS, puis nous donnerons un mécanisme de

formation d’une couche de CuSiN à travers l’étude de l’effet de la microstructure et de la

cristallographie du cuivre sur la siliciuration.

2.2.2 Intérêt de l’étape de nitruration

Un procédé CuSiN intégré en tant que barrière auto-positionnée doit, en plus d’être

sélectif, jouer le rôle de barrière contre l’oxydation du cuivre et contre sa diffusion dans un

isolant à faible permittivité.

2.2.2.1 Propriétés barrières

Oxydation du cuivre

Le siliciure de cuivre seul (CuSi) n’est pas stable lorsqu’il est en contact avec l’atmosphère

ambiante et forme un oxyde de silicium à sa surface [Set90, Cro90, Rob97]. Dans le cas

où un procédé CuSiN est intégré en remplacement d’une barrière diélectrique, le matériau

formé sera nécessairement en contact avec l’atmosphère oxydante créée lors du dépôt du

matériau diélectrique à faible permittivité supérieur de type SiOC qui contient un plasma à

2Avec l’équipement utilisé, 50 sccm de TMS est le minimum possible.

32

2.2. MÉCANISME DE FORMATION DU CUSIN

Plasma oxygène

Cu

SiOC

TaN/Ta

SiCNCuSiN

Substrat

SiCNCuSiN

Plasma oxygène

(a) Descriptif des procédés appliqués.

200 400 600 800101102103104105106107 CuSiCN

Inte

nsité

(cou

ps)

Temps d'érosion (sec)

Cu O

SiOC

(b) Profils SIMS (Cs+) du cuivre et de l’oxygène pour

la barrière SiCN référence.

500 1000

104

105

106

Inte

nsité

(cou

ps)

Temps d'érosion (sec)

Cu (CuSiN/Silane) Cu CuSiN/Silane + O

2)

O (CuSiN/Silane + O2)

O (CuSiN/Silane)

SiOC Cu

(c) Profils SIMS (Cs+) du cuivre et de l’oxygène

pour un procédé CuSiN avec silane.

200 400 600 800101102103104105106107 CuOx

Inte

nsité

(cou

ps)

Temps d'érosion (sec)

Si (CuSiN/TMS + O2) Cu (CuSiN/TMS + O2) O (CuSiN/TMS + O2) Si (CuSiN/TMS) Cu (CuSiN/TMS) O (CuSiN/TMS)

SiOC

(d) Profils SIMS (Cs+) du cuivre, du silicium et de

l’oxygène pour un procédé CuSiN avec TMS.

Figure 2.7 : Effet d’un plasma d’oxygène sur une barrière SiCN référence et sur les maté-

riaux CuSiN formés par silane et TMS.

base d’oxygène. Un des rôles de la nitruration est donc de modifier le CuSi pour le rendre

résistant à l’intégration, notamment vis-à-vis des atmosphères oxydantes.

Afin d’évaluer l’efficacité de la couche de CuSiN contre l’oxydation, deux échantillons

cuivre traités par des procédés CuSiN avec silane ou TMS sont soumis à un plasma oxygène,

puis encapsulés par un dépôt de SiOC (εSiOC

r= 3, 1) et comparés à une barrière référence

SiCN (Figure 2.7.a). Les signaux d’oxygène, de cuivre et de silicium sont suivis par SIMS.

Dans le cas d’une barrière référence SiCN, le profil SIMS de l’oxygène présente deux

pics d’oxygène remarquables (Figure 2.7.b). Le premier pic à l’interface SiCN/SiOC est

une conséquence du plasma oxygène qui précède le dépôt du SiOC utilisé pour améliorer

l’adhésion entre le SiCN et le SiOC. Le second reflète la présence d’un oxyde à la surface

du cuivre. Ces résultats montrent également que l’oxydation du cuivre ne conduit pas à la

33

CHAPITRE 2. MÉCANISME DE FORMATION DU CUSIN

diffusion d’oxygène en profondeur dans le cuivre, elle se traduit par un pic plus ou moins

intense à l’interface oxydée.

Dans le cas du silane, les profils d’oxygène à la surface du CuSiN et dans le cuivre

ne sont pas influencés par le plasma oxygène car il n’y aucun pic significatif à l’interface

CuSiN/SiOC (Figure 2.7.c). La couche de CuSiN formée par silane est donc efficace contre

l’oxydation du cuivre. Cette propriété barrière contre l’oxydation du cuivre ne provient pas

du siliciure de cuivre seul (CuSi) qui s’oxyde aisément à l’atmosphère ambiante [Rob97].

Ce résultat démontre la nécessité de l’étape de nitruration pour la réalisation de barrières

auto-positionnés CuSiN, i.e. sans barrière diélectrique.

Dans le cas du procédé CuSiN avec TMS, le plasma oxygène dégrade la surface du cuivre

en formant un oxyde de cuivre épais à sa surface (Figure 2.7.d). Ceci signifie que la surface

de l’échantillon présente alors une discontinuité de la couche de CuSiN qui laisse apparaître

des zones de cuivre nues. Ce résultat révèle que dans les conditions expérimentales utilisées

(température, débit, dilution, temps, nitruration. . .), contrairement au silane, le TMS ne

forme pas une barrière efficace contre l’oxydation du cuivre. L’utilisation du TMS comme

précurseur de la siliciuration pour un procédé CuSiN en tant que barrière auto-positionnée

nécessite alors une augmentation, soit de la quantité de silicium apportée durant la siliciura-

tion (plus grand débit, durée plus longue, plus faible dilution), soit de la température. Sinon,

il faut envisager l’intégration du procédé CuSiN avec TMS comme un traitement avant le

dépôt d’une barrière diélectrique qui jouera alors le rôle de barrière contre l’oxydation du

cuivre.

Diffusion du cuivre

Une barrière auto-positionnée doit, par définition, empêcher la diffusion du cuivre dans

l’isolant. Afin dévaluer cette propriété, le signal du cuivre est suivi par SIMS dans du SiO2,

recouvrant soit du CuSiN soit une barrière diélectrique référence (SiCN). Un recuit à 400 C

après le dépôt est utilisé pour favoriser la diffusion du cuivre dans l’isolant (Figure 2.8.a).

Les résultats montrent que le signal du cuivre dans le SiO2 dans le cas d’un procédé

CuSiN est le même que celui obtenu pour une barrière diélectrique SiCN (Figure 2.8.b),

mettant ainsi en évidence l’efficacité de la couche de CuSiN contre la diffusion du cuivre.

Afin de déterminer le rôle de l’azote sur l’efficacité d’une couche de CuSiN à empêcher

la diffusion du cuivre, plusieurs conditions expérimentales de nitruration sont évaluées, en

faisant varier le temps et la puissance du plasma NH3 [Gos02b]. La quantité d’azote incor-

porée dans la couche de CuSiN est suivie par observation directe des réactions nucléaires

(NRA - Nuclear Reaction Analysis). La quantité de cuivre qui aurait traversé une couche de

CuSiN après un recuit jusqu’à la surface d’un oxyde de silicium la recouvrant est détectée

par spectroscopie à absorption atomique après décomposition en phase liquide (LPD AAS -

Liquid Phase Decomposition Atomic Absorption Spectroscopy) de la surface de cet oxyde.

Les résultats montrent que la quantité d’azote incorporée augmente avec le temps de

34

2.2. MÉCANISME DE FORMATION DU CUSIN

Cu

SiO2

TaN/Ta

SiCNCuSiN

Substrat

+ recuit 400°C 30 min.

(a) Schéma en coupe des empilements étudiés.

0 500 1000 1500101

102

103

104

105

106

107

Inte

nsité

(cou

ps)

Temps d'érosion (sec)

Si (SiCN) Cu (SiCN) Si (CuSiN) Cu (CuSiN)

SiO2 Interface SiO2 / barrière

(b) Profils SIMS (Cs+) du Cu et du Si pour une couche

de CuSiN avec un procédé CuSiN et pour une barrière

diélectrique référence SiCN.

Figure 2.8 : Profils SIMS du cuivre et du silicium après recuit d’une barrière référence et

d’une couche de CuSiN.

5 6 7 80

1

2

3

4

500W60s

500W30s

325W60s

Seuil de détection du cuivre parLPD AAS

Q(C

u)[101

1 at./c

m²]

Q(N)[1015at./cm²]

Cu

325W30s

Figure 2.9 : Evolution de l’efficacité barrière du CuSiN en fonction de la quantité d’azote

contenue dans la couche de CuSiN pour un débit de 145 sccm de NH3 [Gos02b].

traitement, mais surtout avec la puissance du plasma NH3 (Figure 2.9). Par ailleurs, plus la

quantité d’azote incorporée est élevée, et plus la quantité de cuivre détectée à la surface de

l’oxyde de silicium diminue ; elle atteint même le seuil minimal de détection de la technique

de LPD AAS pour 145 sccm de NH3, 500 W durant 60 secondes (Figure 2.9). Ce résultat fixe

ainsi une condition minimale pour la nitruration et montre le rôle essentiel de cette étape de

nitruration pour empêcher la diffusion du cuivre.

35

CHAPITRE 2. MÉCANISME DE FORMATION DU CUSIN

2.2.2.2 Stabilisation du silicium

Nous avons vu qu’une proportion élevée d’azote par rapport au silicium dans la couche

de siliciure permettait de la stabiliser lors d’une contrainte thermique (Figure 2.4.a, Page 29)

comme celle subie lors des différentes étapes d’intégration. Il s’agit alors de déterminer le

comportement de cette couche sous une contrainte électrique telle que celle subie lors de

l’utilisation d’un circuit intégré.

Protocole expérimental

Afin de dissocier l’influence de la température sur la diffusion de silicium dans le cuivre, de

celle d’une contrainte électrique telle que le courant, il faut garder une même température

de ligne TL

traitée pCuSiN lors de la mesure de sa résistance. Une élévation ∆TJ

de la

température d’une ligne par effet Joule due à une augmentation du courant I qui la traverse

doit être compensée par une diminution de la température du support chauffant TS. Pour

cela, il faut déterminer deux caractéristiques de l’échantillon, le coefficient α de variation

de la résistance avec la température et la résistance thermique Rth

de la structure. Rth

correspond au rapport entre l’élévation de température ∆TJ

par effet Joule et la puissance

électrique dissipée dans la ligne. α est donné par l’Equation 2.1 :

α =1

R0

(

dR

dT

)

T0

(2.1)

avec R0 la résistance de la structure à la température T0.

La connaissance de α permet de calculer la résistance à faible courant, i.e. sans effet Joule

(TS=T

L), quelle que soit la température (Equation 2.2) car la variation de la résistance du

cuivre en fonction de la température est linéaire pour les températures considérées [Lid03,

Mur93].

R(TS) = R(T0).(1 + α.(T

S− T0)) (2.2)

Pour déterminer Rth

, il s’agit de mesurer la résistance R1(T ) à la température de test TS

mais cette fois-ci avec une intensité I élevée de telle sorte qu’il y ait effet Joule. On a alors :

R1(TS) = R0.(1 + α.(T

S− T0 + ∆T

J)) (2.3)

Rth

=∆T

J

R1(TS).I2

(2.4)

En regroupant les Equations 2.3 et 2.4, on obtient la relation qui lie l’élévation de tem-

pérature ∆TJ

par effet Joule et le courant I appliqué (Equation 2.5).

∆TJ

=R

th.R0.I

2.(1 + α.(TS− T0))

1 − Rth

.R0.α.I2(2.5)

36

2.2. MÉCANISME DE FORMATION DU CUSIN

Enfin, il suffit de résoudre ∆TJ

+ TS

= TL

= Constante pour déterminer la température

du support à appliquer pour compenser l’élévation de température ∆TJ

due à un courant I.

Stabilité du CuSi et rôle de N

Pour une température de ligne TL

constante et égale à 200 C, la résistance des lignes

siliciurées et nitrurées augmente avec la densité de courant et le temps de test (Figure 2.10) :

la diffusion du silicium de la couche de CuSi ou de CuSiN dans le cuivre est favorisée par

la densité de courant. Néanmoins, pour une densité de courant donnée, la présence d’azote

dans la couche de siliciure de cuivre réduit de façon significative la diffusion de silicium dans

le cuivre. Ce résultat montre qu’une quantité suffisante d’azote permettrait de stabiliser le

silicium contenu dans le siliciure de cuivre nitruré sous une contrainte électrique forte, ce qui

se traduirait par une absence d’augmentation graduelle de résistance en fonction du temps.

0 1000 2000 3000

0

2

4

6

8

10

Augmentationde la densité de courant

125°C

75°C

Aug

men

tatio

n de

la ré

sist

ance

pa

r rap

port

à la

val

eur i

nitia

le (

)

Temps (sec)

Température de ligne : 200°C

Température du support chauffant

25°C

CuSi

CuSiN

Figure 2.10 : Evolution de la résistance de lignes de 140 nm de large avec un procédé CuSiN

avec ou sans azote.

Ce résultat est d’autant plus important que les tests d’électromigration ont pour critère

de défaillance une augmentation de la résistance de la ligne testée entre 10 et 20 %. Ce

critère est représentatif d’une augmentation abrupte de la résistance d’une ligne testée due

à sa rupture par la formation d’une cavité critique. En l’absence de l’étape de nitruration,

ce critère peut rapidement être atteint par une diffusion de silicium dans le cuivre sans qu’il

y ait rupture de la ligne. Ceci montre à quel point l’étape de nitruration est nécessaire pour

pouvoir effectuer les tests de fiabilité sans les fausser.

Pour conclure, l’étape de nitruration est une étape cruciale et nécessaire en terme d’ef-

ficacité barrière contre la diffusion du cuivre, contre son oxydation, mais aussi en terme de

performances électriques et de fiabilité.

37

CHAPITRE 2. MÉCANISME DE FORMATION DU CUSIN

2.2.3 Etude des propriétés de siliciuration

Durant l’étape de siliciuration, le silicium peut s’incorporer dans le cuivre soit par le

biais des joints de grains, soit dans les grains de cuivre eux-mêmes. De ce fait, pour le

premier mécanisme, l’incorporation profonde de silicium dans le cuivre doit dépendre de la

quantité de joints de grains disponibles et donc, de la microstructure du cuivre. Pour le second

mécanisme, cette incorporation de silicium devrait dépendre de l’orientation cristalline des

grains de cuivre en surface.

2.2.3.1 Profondeur d’incorporation des atomes de silicium

Microstructure du cuivre

La microstructure du cuivre dépend entre autre de ses conditions de recuit après son

dépôt. Un recuit du cuivre durant 90 secondes à 250 C sur une plaque chauffante (HP - Hot

Plate) conduit à la formation de grains plus petits qu’un recuit à 400 C durant 25 minutes

dans un four, comme le montrent les observations au Microscope Électronique à Balayage

(MEB) des Figures 2.11.a et b. Ces résultats sont également confirmées par la littérature

[Hau03, Jia02b].

Il est donc possible d’étudier la dependance de la profondeur de siliciuration en fonction

de la microstructure du cuivre en modifiant le recuit cuivre après sa croissance.

Effet de la microstructure du cuivre sur la siliciuration

Pour cette étude, nous utiliserons le silane et le TMS comme précurseurs de la siliciu-

ration, ce qui permet de contrôler la quantité de silicium à incorporer dans le cuivre, avec

des conditions de procédés similaires (température, concentration, temps d’exposition). La

profondeur d’incorporation de silicium en proche surface de l’échantillon sera déterminée

par spectroscopie des électrons Auger (AES - Auger Electron Spectroscopy) couplée à une

technique d’abrasion.

En ce qui concerne le procédé CuSiN avec silane (Figure 2.12.a), le silicium s’incorpore

plus profondément dans le cuivre que pour le TMS (Figure 2.12.b). Contrairement au TMS,

la profondeur d’incorporation du silicium pour le silane dépend du type de recuit cuivre

effectué : elle est plus importante dans le cas d’une plus grande concentration de joints de

grains, i.e. pour de petits grains de cuivre. Ce résultat montre que l’incorporation profonde

de silicium dépend fortement de la microstructure du cuivre, et donc, s’effectue préférentiel-

lement aux joints de grains. Contrairement au CuSiN avec TMS, les profils AES du Cu, Si,

et N du CuSiN avec silane montre une couche de SiN additionnelle sur le CuSiN formée par

le procédé CuSiN lui-même.

Une analyse par spectroscopie de photoélectrons X (XPS - X-ray Photoemission Spectro-

scopy), qui permet de déterminer la composition de la surface des échantillons, montre une

forte influence du type de précurseur étudié mais aucunement du type de recuit cuivre. L’aire

38

2.2. MÉCANISME DE FORMATION DU CUSIN

(a) Cuivre après recuit à 250 C durant

90 secondes sur HP.

(b) Cuivre après recuit à 400 C du-

rant 25 minutes dans un four.

Figure 2.11 : Images MEB inclinées de 15o de lignes de cuivre en coupe.

0 50 100 150 200 250102

103

104

105

Inte

nsité

(u.a

.)

Profondeur (Å)

N (Cu-250°C) Si (Cu-250°C) Cu (Cu-250°C) N (Cu-400°C) Si (Cu-400°C) Cu (Cu-400°C)

(a) Cas du précurseur silane.

0 50 100 150 200 250102

103

104

105

0 10 20 30102

103

104

105

Inte

nsité

(u.a

.)

Profondeur (Å)

N (Cu-250°C) Si (Cu-250°C) Cu (Cu-250°C) N (Cu-400°C) Si (Cu-400°C) Cu (Cu-400°C)

(b) Cas du précurseur TMS.

Figure 2.12 : Profils des éléments Cu, Si et N déterminés par la technique AES couplée à

une technique d’abrasion pour les procédés CuSiN après recuits cuivre 250 et 400 C.

du pic de cuivre pour le précurseur silane est beaucoup plus faible que celle du précurseur

TMS (Figure 2.13.a), ce qui révèle la présence d’une couche additionnelle sur le CuSiN avec

silane. Le pic à 933 eV (932, 8 eV pour le silane et 933, 08 eV pour le TMS) correspond à

des liaisons de type Cu-Si, mettant ainsi en évidence la formation de liaisons entre le cuivre

et le silicium et donc, la présence d’un siliciure de cuivre à la surface des échantillons. Les

liaisons de type oxynitrure (397, 52 - 397, 8 eV) et de type Si-N (101, 6 - 102, 06 eV) montrent

la présence d’un matériau composé de nitrure de silicium à la surface des échantillons (Fi-

gures 2.13.b et c). Dans le cas du précurseur TMS, comme l’aire du pic de cuivre est plus

importante que celles du Si et du N ( Cu

Cu+Si+N= 0, 54), la surface de l’échantillon est un

matériau CuSiN riche en cuivre. Dans le cas du silane, comme les aires des pics de Si et de

39

CHAPITRE 2. MÉCANISME DE FORMATION DU CUSIN

928 930 932 934 936 938 9400

10

20

30

40

50

60 Cu 2p (CuSiN/SiH4) Cu 2p (CuSiN/TMS)

Energie (eV)

Inte

nsité

(cou

ps)

933,08-20

-10

0

10

20

30

40

Intensité (coups)

932,84

934,53

(a) Spectre du cuivre 2p.

98 100 102 104 1060

20

40

60

80

100

Si 2p (SiH4) Si 2p (TMS)

Energie (eV)

Inte

nsité

(cou

ps)

103,17

102,06

96,5

-80

-60

-40

-20

0

20Intensité (coups)

101,6

(b) Spectre du silicium 2p.

394 396 398 400 4020

50

100

150

N 1s (SiH4) N 1s (TMS)

Energie (eV)

Inte

nsité

(cou

ps)

397,8

398,52

-150

-100

-50

0

50398

Intensité (coups)

397,52

(c) Spectre d’azote 1s.

Figure 2.13 : Spectres XPS de la surface des échantillons CuSiN avec silane ou TMS.

N sont les plus conséquentes et celle du Cu négligeable ( Cu

Cu+Si+N= 0, 02), et comme les liai-

sons de type Si-Si (96, 5 eV) ne s’observent que pour le CuSiN avec silane (Figure 2.13.b), la

surface de l’échantillon qui masque le cuivre est composée de SiN. Ce résultat qui confirme

l’analyse AES (Figure 2.12.a), révèle la présence d’une couche de SiN sur le CuSiN pour

l’échantillon avec précurseur silane.

Enfin, la présence de cette bi-couche CuSiN/SiN auto-positionné uniquement sur le

cuivre, absente de la surface du masque dur SiO2, est également observée par Microscope

Électronique à Transmission (MET) d’une ligne de cuivre en coupe dont la surface a été

traitée par le procédé CuSiN avec silane (Figure 2.14). L’épaisseur de CuSiN est de 3, 4 nm

et celle de SiN de 4, 7 nm.

Pour conclure, l’incorporation profonde de silicium dans le cuivre, qui se fait principale-

ment aux joints de grains, est dépendante de la microstructure du cuivre, et donc du type de

recuit cuivre effectué. Par contre, la formation d’un bi-couche CuSiN/SiN auto-positionné,

qui ne se fait que lorsque la quantité de silicium apportée est importante (cas du silane), est

40

2.2. MÉCANISME DE FORMATION DU CUSIN

Figure 2.14 : Coupe longitudinale prise au MET d’une ligne de cuivre traitée pCuSiN avec

silane formant une bi-couche.

indépendante de la microstructure du cuivre. Cette couche de SiN localisée uniquement sur

le cuivre, qui se forme durant le procédé CuSiN pourrait fortement contribuer aux propriétés

barrières précédemment observées. Néanmoins, la formation de ce SiN ne peut se faire que

s’il reste du silicium à la surface du cuivre après l’étape de siliciuration et durant celle de

nitruration, i.e. le SiN ne se forme que si le cuivre est fortement siliciuré en surface. Nous

allons donc déterminer le taux de siliciuration de la surface du cuivre et les solutions pour

l’améliorer.

2.2.3.2 Détermination du taux de siliciuration

Influence de la quantité de silicium

Si le CuSiN est introduit en tant que barrière auto-positionnée, il doit être continu sur

toute la surface de cuivre soit pour la rendre totalement hermétique, soit pour permettre la

formation supplémentaire d’une couche de SiN continue sur toute la surface du cuivre. Ceci

rend donc l’étape de siliciuration déterminante pour le taux de recouvrement du cuivre par

une couche de CuSiN.

Deux procédés de siliciuration de 10 secondes à 350 C et sans nitruration utilisant le pré-

curseur silane sont étudiés afin de déterminer l’influence de la quantité de silicium apportée

sur le taux de siliciuration de la surface du cuivre. La faible quantité de silicium apportée

correspond à une dilution de 1 % de silane (30 sccm de silane3 et 3000 sccm d’azote) et la

quantité importante de silicium à 5 % (150 sccm de silane et 3000 sccm d’azote).

Une analyse AES montre que toute la surface du cuivre après forte siliciuration (5 %)

et exposition à l’atmosphère ambiante est recouverte d’un oxyde de silicium continu [Cro90,

3Il s’agit ici d’une étude avec un équipement différent de celui utilisé précédemment, ce qui explique

l’utilisation de 30 sccm de silane, qui est la limite basse de cet équipement, alors que dans les cas précédents,

la limite basse de l’équipement était de 40 sccm.

41

CHAPITRE 2. MÉCANISME DE FORMATION DU CUSIN

Rob97]. Néanmoins, après une légère abrasion de la surface de l’échantillon par un plasma

argon, le signal de l’oxygène disparaît et celui du silicium passe d’un état oxyde à un état

siliciure (Figure 2.15), mettant en évidence la formation d’un siliciure de cuivre qui s’est

oxydé à l’atmosphère ambiante avant l’analyse AES.

Les observations MEB de la surface des échantillons faiblement siliciurés (1 %) montrent

deux types de topologie (Figure 2.16.a). Une cartographie AES des éléments Cu, Si et O

(Figures 2.16.b, c et d) révèlent que les régions « plates » sont essentiellement composées

de cuivre non siliciuré et les régions « rugueuses », composées de silicium et d’oxygène,

correspondant à du siliciure de cuivre oxydé par l’atmosphère ambiante.

De tels résultats montrent clairement qu’il existe une quantité minimale de silicium à

apporter pour siliciurer toute la surface du cuivre.

Une cartographie de la surface de l’échantillon faiblement siliciuré réalisée par microscopie

à forces atomiques (AFM - Atomic Force Microscopy) confirme la présence de deux types de

topologie (Figure 2.17.a). Afin de déterminer la nature des grains de cuivre non siliciurés,

leur potentiel de sortie, qui est caractéristique de l’orientation cristalline du cuivre [Gar72],

est déterminé par microscopie en champ proche (KFM - Kelvin probe Force Microscopy).

La cartographie des potentiels de sortie de la même zone observée par AFM (Figure 2.17.b)

montre que les régions non siliciurées (cuivre) ont un potentiel de sortie plus élevé que celui

des régions siliciurées et oxydées (CuSiO). La Figure 2.17.c, qui représente le potentiel de

sortie en fonction de la position balayée, montre que le cuivre non siliciuré a un potentiel de

sortie de l’ordre de 4, 92 eV, ce qui est proche de celui d’un cuivre dont la surface est orientée

(111) (4, 94 eV), alors que les surfaces orientées (100) et (110) correspondent respectivement

à 4, 59 et 4, 48 eV [Gar72].

L’analyse par KFM indique donc que la capacité du cuivre à se siliciurer dépend de

l’orientation cristalline du cuivre. Une analyse par diffraction des électrons rétro-diffusés

(EBSD - Electron Back Scattered Diffraction), qui permet de déterminer la nature des grains

de cuivre non siliciurés (ceux étant siliciurés et oxydés ne peuvent pas être indexés), montre

que, sur une plus grande surface que celle analysée par KFM, les grains de cuivre orientés

(111) et (100), qui correspondent aux plans les plus denses vis-à-vis de la siliciuration, ne

sont pas siliciurés (Figure 2.18). Si l’on considère le rayon d’un atome pouvant s’incorporer

dans les interstices d’un grain de cuivre (111) ou (100), il est beaucoup plus petit que celui

du silicium (Figure 2.18.b). Par contre, les grains moins denses (orientés (110), (211), . . .),

qui sont siliciurés ont des interstices suffisamment importants pour permettre l’incorporation

d’atomes de silicium. Ce résultat indique que la siliciuration des grains de cuivre orientés

autre que (111) et (100) se fait de façon interstitielle4.

Néanmoins, la proportion de grains (111) et (100) après siliciuration (20 % de la surface

analysée) est plus faible que celle avant siliciuration (40 % de la surface analysée), ce qui

4Les rayons d’un atome de cuivre et de silicium sont respectivement 1, 38 Å et 1, 11 Å.

42

2.2. MÉCANISME DE FORMATION DU CUSIN

1590 1600 1610 1620 1630 1640

4,40x105

4,44x105

4,48x105

4,52x105

4,56x105Si après abrasion

Siliciure

Inte

nsité

(cou

ps)

Energie cinétique (eV)

Oxyde

Si avant abrasion

(a) Spectres AES du silicium.

490 500 510 520 5302,6x105

2,8x105

3,0x105

3,2x105

3,4x105

Inte

nsité

(cou

ps)

Energie cinétique (eV)

O après abrasionO avant abrasion

(b) Spectres AES de l’oxygène.

Figure 2.15 : Spectres Auger du silicium et de l’oxygène de l’échantillon à forte siliciuration

avant et après abrasion de la surface.

(a) Image MEB en vue de dessus. (b) Cartographie AES du Cu du cliché MEB.

(c) Cartographie AES de O du cliché MEB. (d) Cartographie AES de Si du cliché MEB.

Figure 2.16 : Cliché MEB et cartographie AES associée d’un échantillon faiblement sili-

ciuré.

43

CHAPITRE 2. MÉCANISME DE FORMATION DU CUSIN

(a) Cartographie AFM.

(b) Cartographie KFM.

0 2 4 6 84,80

4,82

4,84

4,86

4,88

4,90

4,92

4,94

Pot

entie

l de

sorti

e (e

V)

Position d'analyse (µm)

(c) Potentiel de sortie de la zone balayée.

Figure 2.17 : Analyses AFM et KFM d’un échantillon faiblement siliciuré.

montre qu’une partie des grains (111) et (100) est également siliciurée. Quand la quantité

de silicium est plus importante (5 %), toute la surface de l’échantillon est recouverte de

siliciure de cuivre oxydée, ce qui signifie que tous les grains de cuivre, même les grains

(111) et (100), sont siliciurés. Des résultats similaires trouvés dans la littérature utilisant

une quantité encore plus conséquente de silane montre la siliciuration de mono-cristaux de

cuivre (111) [Rob97, McC90, Kan96] et (100) [Gra92], en faisant intervenir un mécanisme

de siliciuration fondé sur la substitution d’atomes de cuivre par des atomes de silicium.

Pour conclure, deux mécanismes sont impliqués dans la siliciuration, à la fois l’incorpora-

tion d’atomes de silicium de façon interstitielle et la substitution d’atomes de cuivre par du

silicium. Le premier a pour conséquence directe que la siliciuration de la surface du cuivre

soit favorisée pour les grains présentant une surface peu dense, et le second que la proportion

de la surface de cuivre siliciurée augmente avec la quantité de silicium apportée.

Dans une intégration de type barrière auto-positionnée, il suffit d’augmenter la quantité

de silicium apportée jusqu’à ce que tous les grains de cuivre soient siliciurés afin d’obtenir une

couche de CuSiN continue. Néanmoins, cette augmentation de la quantité de silicium conduit

de façon inéluctable à la dégradation de la résistance du cuivre. Il s’agit donc de déterminer

d’autres moyens d’augmenter la proportion de cuivre siliciuré sans apport additionnel de

silicium.

44

2.2. MÉCANISME DE FORMATION DU CUSIN

(a) Cartographie EBSD.(b) Rayon maximum d’un atome en position

interstitiel selon le plan cristallin de cuivre

considéré.

Figure 2.18 : Cartographie EBSD de la surface d’un échantillon faiblement siliciuré.

Influence de la préparation de la surface

En l’absence de traitement de surface du cuivre, la siliciuration, qui se fait alors directement

sur un oxyde de cuivre, ne conduit pas à l’incorporation de silicium dans le cuivre même en

employant une quantité importante de silicium (Figure 2.19). L’état de surface du cuivre se

révèle donc être critique pour l’incorporation de silicium dans le cuivre. C’est pourquoi deux

conditions de plasma à base d’hydrogène, appliquées avant la siliciuration, sont étudiées afin

de déterminer leur efficacité à retirer l’oxyde natif de cuivre et leur effet sur la siliciuration.

Les deux plasmas ont une puissance de 750 W durant 20 secondes à 350 C, le premier avec

1600 sccm d’H2 (H2 pur) et le second avec 1100 sccm d’H2 et 500 sccm d’He (H2 dilué).

Tout d’abord, après une oxydation volontaire de la surface du cuivre par un plasma

oxygène, la réflectivité décroît fortement (- 70 %) à cause de la présence d’un oxyde de cuivre

à la surface de l’échantillon. Par contre, lorsqu’un plasma à base d’hydrogène (H2 ou H2-He)

suit l’oxydation volontaire du cuivre, la réflectivité est légèrement plus élevée que celle avant

oxydation (+ 5 %), ce qui signifie que l’oxyde de cuivre formé par le plasma d’oxygène a été

totalement retiré. L’augmentation de la réflectivité par rapport à celle initialement mesurée

vient du fait que la première mesure de réflectivité prenait également en compte la présence

d’un oxyde natif de cuivre également retiré. Ce résultat montre clairement l’efficacité de ces

traitements plasmas pour retirer l’oxyde natif de cuivre.

Pour une quantité élevée de silicium (5 %), l’augmentation de la résistance (>40 %),

due à l’incorporation d’une grande quantité de silicium aux joints de grains, est plus faible

lorsque le plasma réducteur contient de l’hélium (Figure 2.19). L’hélium agit donc sur les

joints de grains du cuivre pour limiter l’incorporation de silicium. Dans le cas d’une plus

faible quantité de silicium apportée (1 %), l’incorporation de silicium dans le cuivre est

45

CHAPITRE 2. MÉCANISME DE FORMATION DU CUSIN

0 500 1000 1500 2000101

102

103

104

Inte

nsité

(cou

ps)

Temps d'érosion (sec)

Si (H2-He + 5% silane) Si (H2 + 5 % silane) Si (H2 + 1 % silane) Si (H2-He + 1 % silane)

(a) Profils SIMS (Cs+) du Si selon le traitement.

0 % 1 % 5 %0

40

80

120

Var

iatio

n de

rési

stan

ce (%

)

Dilution du silane

Pas de préparation de surface Plasma H2-He Plasma H2

(b) Variation de la résistance selon le traitement.

Figure 2.19 : Influence de la préparation de surface avant siliciuration sur l’incorporation

de silicium dans le cuivre.

moins profonde (Figure 2.19.a), ce qui se traduit par une dégradation de résistance moins

marquée que dans le cas avec 5 % de silane. La nature du plasma réducteur n’influence

ni la profondeur d’incorporation de silicium (Figure 2.19.a) ni la valeur de la résistance

finale du cuivre (Figure 2.19.b). Les observations MEB de la surface des échantillons silane

1 % traités H2 et H2-He montrent la présence des deux types de morphologie déjà observés

(Figure 2.20), liés à la présence de cuivre (zones claires et plates) ou de siliciure de cuivre

oxydé (zones sombres et rugueuses). Un traitement numérique, qui permet de calculer la

proportion de zones claires et de zones sombres, montre que les images MEB de l’échantillon

H2 sont composés à 16 % de cuivre contre 25 % pour l’échantillon H2-He. Ce résultat met

donc en évidence que le traitement de la surface du cuivre par plasma a une influence sur

la quantité de cuivre qui sera siliciurée par la suite (84 % pour H2 et 75 % pour H2-He).

Il est donc possible d’augmenter la proportion de cuivre siliciuré tout en gardant une faible

quantité de silicium apportée, ce qui permet de ne pas trop dégrader la résistance du cuivre.

Pour conclure, l’incorporation en profondeur de silicium dans le cuivre, qui se fait par le

biais des joints de grains, dépend fortement de la microstructure du cuivre et donc du type

de recuit après sa formation. L’incorporation de silicium à la surface des grains de cuivre se

fait de façon interstitielle et par substitution d’atomes de cuivre par des atomes de silicium.

Elle dépend donc de l’orientation cristalline des grains de cuivre en surface et de la quantité

de silicium disponible. Pour augmenter la proportion de grains de cuivre siliciurés, il est

possible d’augmenter la quantité de silicium apportée durant l’étape de siliciuration, mais

au détriment de la résistance du cuivre. Une première solution pour augmenter la quantité

de grains de cuivre siliciurés sans dégrader la résistance serait de modifier l’état de surface

du cuivre par des traitements plasmas avant siliciuration en utilisant une faible quantité de

46

2.2. MÉCANISME DE FORMATION DU CUSIN

(a) Cas d’un plasma H2-He. (b) Cas d’un plasma H2.

Figure 2.20 : Images MEB (15 × 15 µm2) en vue de dessus des échantillons à faible

siliciuration en fonction de la préparation de surface représentative de l’ensemble de la plaque.

silicium. Une seconde alternative serait d’appliquer au moins deux fois de suite la séquence

complète de siliciuration / nitruration. La première séquence permettrait la formation de

CuSiN sur les grains de cuivre peu denses, limitant ainsi la dégradation de la résistance du

cuivre. La seconde séquence, qui n’aurait aucun effet sur les grains de cuivre déjà siliciurés,

serait plus riche en silicium, ce qui permettrait de former du CuSiN à la surface des grains

de cuivre denses.

2.2.4 Proposition d’un mécanisme de formation

A partir des résultats précédemment obtenus, un mécanisme de formation d’une couche

de CuSiN et de SiN par les procédés CuSiN avec un précurseur silane peut être proposé.

Après retrait de l’oxyde natif de cuivre, au début de l’étape de siliciuration, la quantité

de silicium apportée par la dissociation du silane à la surface du cuivre est faible. Le silicium

s’incorpore peu profondément, essentiellement à la surface du cuivre au niveau des joints de

grains et de façon interstitielle dans les grains de cuivre dont la surface est peu dense (grains

orientés (110), (211), . . .) (Figures 2.21.a et b). Lorsque la quantité de silicium augmente,

l’incorporation de silicium se fait plus profondément aux joints de grains. Les grains de

cuivre dont la surface est dense (grains orientés (111) et (100)) se siliciurent également par

substitution d’atomes de cuivre par des atomes de silicium et cela, jusqu’à saturer la surface

du cuivre et la recouvrir complètement de silicium (Figure 2.21.c).

Lorsque le plasma NH3 est activé, des atomes d’azote s’incorporent dans le cuivre, ré-

agissent avec les atomes de silicium présents dans le cuivre pour former une couche de CuSiN.

Après l’étape de siliciuration, lorsque des atomes de silicium en excès se trouvent à la surface

47

CHAPITRE 2. MÉCANISME DE FORMATION DU CUSIN

(a) (b) (c)

Figure 2.21 : Schéma en coupe (haut) et en vue de dessus (bas) du procédé de siliciuration

avec précurseur silane.

Figure 2.22 : Schéma en coupe (haut) et en vue de dessus (bas) du procédé de nitruration.

du cuivre, ils vont réagir avec le plasma NH3 pour former une couche additionnelle de SiN

uniquement sur le CuSiN (Figure 2.22).

Pour conclure ce chapitre, les procédés CuSiN auto-positionnés se décomposent en trois

étapes majeures consécutives qui sont (i) l’activation de la surface du cuivre et le retrait

de l’oxyde natif de cuivre par un plasma réducteur, (ii) la siliciuration par CVD et (iii) la

nitruration par PECVD. En ce qui concerne la siliciuration, son efficacité se révèle être

fortement dépendante de la microstructure du cuivre ansi que de l’orientation cristalline

de ses grains. Comme les propriétés microstructurale et cristallographique du cuivre sont

48

2.2. MÉCANISME DE FORMATION DU CUSIN

intrinsèquement liées aux dimensions des interconnexions dans lesquelles le cuivre est confiné,

l’efficacité de la siliciuration va également être dépendante de la largeur des lignes. En ce

qui concerne l’étape de nitruration, elle permet de stabiliser la couche de siliciure de cuivre,

d’empêcher la diffusion du silicium dans le cuivre et de limiter la dégradation de la résistance

du cuivre. Cette étape donne également au siliciure ses propriétés de barrière contre la

diffusion du cuivre et contre son oxydation si la quantité de silicium incorporée dans le

cuivre est suffisante. C’est pourquoi, dans une optique d’intégration du procédé CuSiN en

tant que barrière auto-positionnée, i.e. en remplacement d’une barrière diélectrique continue,

le procédé CuSiN requiert l’utilisation d’un précurseur silane car, dans les mêmes conditions

expérimentales de siliciuration, le TMS ne permet pas de générer suffisamment de silicium

et donc, de former une barrière efficace contre l’oxydation du cuivre. De plus, le taux de

recouvrement de la surface du cuivre par du siliciure, qui dépend de la quantité de silicium

apportée, de l’orientation cristalline des grains de cuivre et de l’état de surface du cuivre,

doit être de 100 % afin d’avoir une couche barrière qui soit continue sur le cuivre.

Par contre, l’intégration d’un procédé CuSiN en tant que traitement de la surface du

cuivre avant le dépôt d’une barrière diélectrique, empilement dit hybride (CuSiN + barrière

diélectrique) pour améliorer l’adhésion à cette interface, requiert moins de contraintes. En

effet, comme le rôle de barrière à la diffusion du cuivre est joué dans ce cas par la barrière

diélectrique elle-même, un taux de recouvrement inférieur à 100 % de la surface du cuivre

par un siliciure de cuivre peut tout de même améliorer significativement l’adhésion à cette

interface. Dans le chapitre suivant, nous allons déterminer l’influence de l’introduction d’un

procédé CuSiN en tant que traitement avant le dépôt d’une barrière diélectrique et en tant

que barrière auto-positionnée sur cuivre, en terme de performances électriques et de fiabilité.

49

Chapitre 3

Intégration et évaluation de procédés

CuSiN

Sommaire

3.1 Paramètres suivis pour l’étude des procédés CuSiN . . . . . . . . . . . . . 52

3.1.1 Paramètres électriques . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52

3.1.1.1 Courant de fuite . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52

3.1.1.2 Résistance de lignes . . . . . . . . . . . . . . . . . . . . . . . . . . . 53

3.1.1.3 Capacité de couplage latérale . . . . . . . . . . . . . . . . . . . . . . 54

3.1.1.4 Résistance de via . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55

3.1.2 Outils de caractérisation de la fiabilité . . . . . . . . . . . . . . . . . . . . . . 58

3.1.2.1 Electromigration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58

3.1.2.2 TDDB : Rupture diélectrique à dépendance chronologique . . . . . . 61

3.2 Evaluation de procédés CuSiN . . . . . . . . . . . . . . . . . . . . . . . . . . 62

3.2.1 Description des procédés CuSiN . . . . . . . . . . . . . . . . . . . . . . . . . . 62

3.2.1.1 Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62

3.2.1.2 Nœud 65 nm : hybride CuSiN+SiCN . . . . . . . . . . . . . . . . . . 64

3.2.1.3 Nœud 90 nm : barrière auto-positionnée CuSiN . . . . . . . . . . . . 66

3.2.2 Evaluation de l’architecture hybride . . . . . . . . . . . . . . . . . . . . . . . 67

3.2.2.1 Performances électriques . . . . . . . . . . . . . . . . . . . . . . . . 67

3.2.2.2 Fiabilité . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72

3.2.2.3 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76

3.2.3 Evaluation de la barrière auto-positionnée CuSiN . . . . . . . . . . . . . . . . 77

3.2.3.1 Performances électriques . . . . . . . . . . . . . . . . . . . . . . . . 78

3.2.3.2 Fiabilité . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85

3.2.3.3 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94

3.3 Conclusion sur les procédés CuSiN . . . . . . . . . . . . . . . . . . . . . . . 95

51

CHAPITRE 3. INTÉGRATION ET ÉVALUATION DE PROCÉDÉS CUSIN

3.1 Paramètres suivis pour l’étude des procédés CuSiN

3.1.1 Paramètres électriques

Les résultats électriques qui vont être décrits par la suite sont issus de tests effectués en

ligne de façon automatisée. Ces tests font partie du flux d’intégration des composants afin

de détecter toute anomalie lors de l’intégration des interconnexions avant le test final et sont

par définition non destructifs.

3.1.1.1 Courant de fuite

Le courant de fuite reflète la qualité d’isolation de matériaux diélectriques en mettant

en évidence les défauts électriquement actifs présents dans la matrice isolante. Il correspond

au courant qui traverserait un diélectrique d’une électrode à une autre si une différence de

potentiel était appliquée entre les deux électrodes. D’un point de vue expérimental, il s’agit

de la mesure du courant traversant une ligne reliée de part et d’autre à la masse (intensité

attendue égale à zéro) et parallèle à une ligne dont le potentiel est fixe (dans notre cas

2 volts) (Figure 3.1).

(a) Schéma en vue de dessus

d’une structure de test type

peigne/serpentin (GND : masse).

(b) Schéma en coupe des chemins de

conduction possibles.

Figure 3.1 : Schéma du dispositif de mesure des courants de fuite.

Les défauts électriquement actifs, qui constituent alors des chemins de conduction, se

trouvent soit dans le volume des isolants soit aux interfaces inférieures et supérieures du

masque dur SiO2 (Figure 3.1.b). Dans un empilement hybride, le procédé CuSiN corres-

pond à un traitement de surface, il ne peut donc modifier que les chemins de conduction se

trouvant à la surface du masque dur SiO2. En terme de chimie, les procédés CuSiN com-

portent des plasmas NH3, déjà utilisés avant le dépôt de barrières diélectriques pour retirer

l’oxyde natif de cuivre, et un flux de silane, qui ne se décompose sur le SiO2 qu’à partir de

400 C [Tak95]. De ce fait, si le masque dur SiO2 est maintenu pour toutes les générations

technologiques (au moins jusqu’au 45 nm), l’introduction de procédés CuSiN avant le dépôt

d’une barrière diélectrique ne doit pas dégrader les courants de fuite. Par contre, le retrait

de la barrière diélectique remplacerait l’interface SiO2/barrière diélectrique par une interface

52

3.1. PARAMÈTRES SUIVIS POUR L’ÉTUDE DES PROCÉDÉS CUSIN

10-15 10-13 10-11 10-90

20

40

60

80

100Mx mesuré au Mx

Pro

babi

lité

cum

ulée

(%)

Courant de fuite (A)

Mx mesuré au Mx+1

Figure 3.2 : Courants de fuite d’un peigne/serpentin (largeur/espacement = 140/140 nm,

longueur du serpentin = 70 mm) d’un niveau métal Mx

mesuré au Mx

et au Mx+1 pour

un empilement standard d’une technologie Cu 90 nm avec barrière SiCN et SiOC dense

(εSiOC

r= 3,1).

SiO2/diélectrique à faible permittivité de type SiOC. Cette nouvelle interface peut modifier

le comportement des courants de fuite.

Pour déterminer l’influence de ces procédés CuSiN sur les courants de fuite, il semble

naturel de comparer les mesures électriques d’un niveau Mx

avant et après traitement. Or,

la reprise d’humidité de l’isolant lorsqu’il est exposé à l’atmosphère ambiante augmente ces

valeurs de courants de fuite. Par contre, l’intégration d’un niveau supérieur Mx+1 permet

d’une part, de retirer l’humidité grâce au budget thermique lié à son intégration, et d’autre

part, de rendre hermétique la surface du niveau Mx

et de la sceller (Figure 3.2).

Le protocole adopté pour déterminer l’influence de procédés auto-positionnés sur les

courants de fuite consiste à comparer la mesure de courant avant procédé à celle après

intégration du procédé et du niveau supérieur (∆=Mx+1-Mx

), et de comparer cette variation

à celle d’un échantillon référence avec une barrière diélectrique.

3.1.1.2 Résistance de lignes

L’incorporation de silicium dans le cuivre durant l’étape de siliciuration correspond de

façon schématique à une consommation partielle du volume du cuivre. Il en résulte une

réduction de la section de la ligne et donc une augmentation de la résistance (Figure 3.3).

De façon théorique, la variation entre la résistance d’une ligne de cuivre d’un niveau Mx

mesurée au niveau Mx

avant procédé CuSiN et celle de ce même niveau Mx

mesurée au

niveau Mx+1 doit rendre compte de la quantité de cuivre consommée par le procédé tout

en s’affranchissant de l’influence de la fluctuation des dimensions des lignes. Par contre,

en pratique, contrairement à un recuit à 400 C durant 25 min dans un four, celui à 250 C

53

CHAPITRE 3. INTÉGRATION ET ÉVALUATION DE PROCÉDÉS CUSIN

Figure 3.3 : Section de ligne

(S) réduite par le procédé CuSiN.

-4 -2 00

20

40

60

80

100

Pro

babi

lité

cum

ulée

(%)

Variation de résistance (%)

Recuit Cu 400°C four

Recuit Cu 250°C HP

Figure 3.4 : Variation de la résistance du métal

Mx

mesurée au Mx

et au Mx+1 de deux échan-

tillons références (SiCN sur Mx) ayant soit un

recuit Mx

à 400 C, soit à 250 C et un recuit

Mx+1 à 400 C.

durant 90 secondes sur une plaque chauffante (HP - Hot Plate) conduit à la diminution de

la résistance de l’ordre de 3 % après intégration du niveau supérieur (Figure 3.4).

Cet effet est dû au budget thermique apporté par l’intégration du niveau supérieur, de

l’ordre de 400 C (dépôt des matériaux diélectriques), qui permet au cuivre recuit à 250 C

durant 90 secondes de continuer sa cristallisation : augmentation de la taille des grains de

cuivre (coalescence). Or, la résistivité du cuivre est d’autant plus faible que la distance entre

les joints de grains est grande (Equation 1.10) [Ste05], ce qui explique la réduction de la

résistance du cuivre recuit à 250 C durant l’intégration du niveau supérieur.

Afin de déterminer l’influence d’un procédé CuSiN sur une ligne d’un niveau Mx, le pro-

tocole adopté consiste à calculer la variation de résistance du Mx

avant procédé CuSiN et

après intégration du niveau supérieur Mx+1 (∆=(M

x+1−Mx)/M

x) et comparer cette varia-

tion à celle calculée pour un échantillon référence (barrière diélectrique au niveau Mx). La

structure de test typique est le serpentin de la structure utilisée pour la mesure de courant

de fuite (Figure 3.1.a) en appliquant une différence de potentiel à ses deux extrémités.

3.1.1.3 Capacité de couplage latérale

La capacité de couplage entre une ligne et les lignes environnantes possède de nombreuses

contributions, comme l’illustre la Figure 3.5. La mesure de la capacité de couplage latérale

permet de mettre en évidence l’influence du retrait de la barrière diélectrique latérale dans le

cas des barrières auto-positionnées. En effet, les contributions à cette capacité proviennent

54

3.1. PARAMÈTRES SUIVIS POUR L’ÉTUDE DES PROCÉDÉS CUSIN

Figure 3.5 : Représentation schématique des différentes composantes de la capacité de cou-

plage totale entre une ligne et son environnement.

des matériaux se trouvant entre les deux armatures (matériau à faible permittivité et masque

dur SiO2) et de ceux en dehors (matériau à faible permittivité et barrière diélectrique),

autrement appelée capacité de bord.

Contrairement à un empilement hybride, une barrière auto-positionnée réduit la valeur

de capacité de couplage de l’ordre de 5, 9 et 12 % pour les nœuds technologiques 90, 65

et 45 nm par rapport au cas référence avec une barrière diélectrique SiCN (les résultats de

simulations sont reportés dans la Figure 1.10, Page 17). Nous vérifierons ces résultats en

utilisant des structures de test composées de deux peignes interdigités.

3.1.1.4 Résistance de via

La résistance d’un via Rvia

tient compte de la résistance des deux niveaux métalliques

qu’il relie (RMx+1

et RMx

), de celle de la barrière métallique sur les flancs et le fond du via

et de celle du cuivre dans le via (Figure 3.6) :

Rvia

= RMx+1

+ RMx

+ RCu

via+ R

TaN/Ta

via

Que ce soit dans le cas d’un empilement hybride ou auto-positionné, la présence d’une couche

de CuSiN d’épaisseur hCuSiN

sous le via conduit de façon inéluctable à l’augmentation de la

résistance du via de section S :

RCuSiN

via=

ρCuSiN

.hCuSiN

S

Il est donc nécessaire de retirer la couche de CuSiN sous le via soit durant l’étape de gravure

soit durant l’étape de métallisation en utilisant un procédé de pulvérisation par accélération

55

CHAPITRE 3. INTÉGRATION ET ÉVALUATION DE PROCÉDÉS CUSIN

(a) Correspondance entre la valeur de la résistance d’un via mesurée et les éléments pris en compte.

(b) Contribution due au CuSiN.

Figure 3.6 : Schémas en coupe d’une chaîne de via et des différentes contributions à la

mesure de la résistance d’un via.

d’ions argon appelé Punch Through1. En terme d’intégration, dans une technologie 65 nm

TFHM2 [Fox05] la gravure se décompose en trois étapes (Figures 3.7.a à c) :

– gravure du via, détection de la barrière SiCN et arrêt de la gravure via. On observe une

légère consommation du SiCN (car du fait de la différence de sélectivité de gravure, le

SiOC est gravé plus rapidement que le SiCN) ;

1Le procédé Punch Through (PT) consiste à pulvériser le fond des vias grâce à des ions argon accélérés par

une différence de potentiel dirigé vers l’échantillon durant le dépôt du TaN par PVD [Yam00, Kum04]. Ce

procédé permet de faire pénétrer le via plus profondément dans la ligne de cuivre sous-jacente et de réduire

l’épaisseur de TaN en fond de via pour diminuer davantage la résistance d’un via. Nous verrons l’application

de ce procédé en Page 84.2TFHM - Trench First Hard Mask : Une approche de gravure qui consiste à graver en premier l’empreinte

de la ligne dans le masque dur TiN.

56

3.1. PARAMÈTRES SUIVIS POUR L’ÉTUDE DES PROCÉDÉS CUSIN

(a) Grav. via. (b) Grav. ligne. (c) Ouv. SiCN. (a) Grav. via. (b) Grav. ligne. (c) Ouv. SiCN.

Figure 3.7 : Schéma de principe de la gravure de via et ligne dans le cas (à gauche) d’une

référence avec barrière diélectrique SiCN et (à droite) sans barrière pour une technologie

65 nm TFHM [Fox05].

– gravure de la ligne, fin de gravure ligne et consommation partielle de SiCN ;

– gravure spécifique pour l’ouverture du SiCN ; le via débouche alors sur le cuivre.

La barrière diélectrique SiCN se révèle donc être également une couche de contrôle de

l’arrêt de la gravure du via (ESL - Etch Stop Liner). Sans l’ESL, à partir de la fin de la gravure

du via, le cuivre serait pulvérisé sur les flancs du diélectrique à faible permittivité à travers

lequel il diffuserait (Figure 3.7). Par contre, dans le cas d’une barrière auto-positionnée

CuSiN, la couche de SiN sur le CuSiN (Figure 2.14, Page 41) serait pulvérisée avant le cuivre

(comme dans le cas standard avec une barrière diélectrique), protégeant ainsi le matériau à

faible permittivité contre la diffusion du cuivre.

D’autre part, il existe toujours un désalignement du via par rapport à la ligne sous-jacente

sur l’ensemble d’une plaque de silicium inhérente à l’étape de photolithographie (Figure 3.8).

Ce phénomène augmente la résistance du via du fait de la réduction du diamètre de la section

(a) En centre de plaque. (b) En bord de plaque. (c) Schéma de la structure.

Figure 3.8 : Observations MEB en vue de dessus d’un réseau de lignes de 100 nm de large

espacées de 100 nm au niveau métal 2 avec des vias de 100 nm de diamètre espacés de 150 nm

le long d’une ligne.

57

CHAPITRE 3. INTÉGRATION ET ÉVALUATION DE PROCÉDÉS CUSIN

(a) Avec une barrière

diélectrique SiCN.

(b) Cas d’une barrière auto-

positionnée.

Figure 3.9 : Intérêt d’une couche de contrôle d’arrêt de la gravure du via lors du désaligne-

ment d’un via.

conductrice du via. Pour la génération 65 nm, pour laquelle le diamètre minimum d’un via est

de 100 nm et le pitch3 minimum est de 200 nm (w/s = 100/100 nm) à partir du deuxième

niveau métallique, un désalignement de via de ± 35 nm sur l’ensemble de la plaque de

silicium est autorisé. Dans le cas d’une barrière auto-positionnée, sans la couche de contrôle

de l’arrêt de la gravure du via (ESL), la gravure du via peut continuer à côté de la ligne

inférieure dans le masque dur SiO2 et générer une cavité durant les étapes de métallisation

(Figure 3.9). La présence d’un tel défaut peut accélérer la défaillance des interconnexions

lors de leur fonctionnement (voir Paragraphe 3.1.2.1 et Figures 3.10 et 3.11).

Pour conclure, la barrière diélectrique utilisée actuellement a deux rôles, celui d’empêcher

la diffusion du cuivre et son oxydation, mais aussi celui de contrôler l’arrêt de la gravure

du via. Son remplacement par une barrière auto-positionnée constitue une rupture archi-

tecturale pour laquelle il est nécessaire de modifier le schéma d’intégration pour faire face

aux problèmes de désalignement des vias inhérents aux procédés de lithographie. Par contre,

l’intégration d’un empilement hybride ne nécessite aucune modification en terme de procédés

et d’architecture d’intégration.

3.1.2 Outils de caractérisation de la fiabilité

3.1.2.1 Electromigration

Equation de Black

Pour reprendre la définition proposée au Paragraphe 1.2.2.1 (Page 18), l’électromigration

correspond au transfert de quantité de mouvement entre le flux d’électrons du courant élec-

trique et les atomes de cuivre, entraînant leur déplacement. Une divergence du flux d’atomes,

3Pitch p : somme de la largeur w d’une ligne et de l’espace s la séparant d’une ligne voisine.

58

3.1. PARAMÈTRES SUIVIS POUR L’ÉTUDE DES PROCÉDÉS CUSIN

générée par des défauts dans le cuivre, conduit à la formation de cavités au sein de la ligne par

l’accumulation de lacunes ce qui réduit la section conductrice de la ligne jusqu’à la rompre.

Black [Bla69] propose un modèle qui relie la durée de vie médiane à la rupture (MTTF -

Mean Time To Failure) d’une population d’échantillons soumise à des tests de fiabilité aux

conditions de température T et de densité de courant je. Cette équation, reprise par Clement

[Cle97] et Shatzkes et al. [Sha86], définit l’équation de Black généralisée donnant la durée

de vie TTF (TTF - Time To Failure) en fonction de ces mêmes conditions T et je

:

TTF = Ab.(

j−nb

e

)

. exp

(

Ea

k.T

)

(3.1)

avec Ab

une constante appelée paramètre de Black, nb

l’exposant de la densité de courant

dépendant des mécanismes de formation des défauts4 et Ea

l’énergie d’activation5. L’extra-

polation des TTF à 0, 1 % dans une représentation lognormale pour au moins deux tempé-

ratures permet de remonter à cette énergie d’activation, qui correspond alors à la pente de

la droite représentant ln (TTF0,1 %) en fonction de 1/T .

Structures de test

Les structures de test d’électromigration diffèrent entre le nœud 90 nm et 65 nm. Pour le

premier, la structure est une ligne simple sur un niveau de métal. Cette configuration permet

de tester principalement l’interface fragile entre le cuivre et la barrière diélectrique.

En ce qui concerne le nœud 65 nm, il s’agit d’une structure de test à deux niveaux

métalliques (Mx

et Mx+1), incluant alors un via V

x. La barrière diélectrique en fond de via

Vx

constitue un site possible de divergence du flux de matière. Cette divergence conduit à la

formation de cavités mais leur localisation dépend du sens de circulation du flux d’électrons.

– Lorsque le flux d’électrons passe d’un niveau Mx+1 par le V

xau M

x(test de type

VxM

x), les lacunes qui se trouvent dans la ligne M

xdiffusent vers le site de diver-

gence (Figure 3.10.a). Ensuite, elles s’accumulent pour former une cavité sous le via

(Figure 3.10.b) qui va grossir jusqu’à la rupture du contact entre le via Vx

et la ligne

Mx

(Figure 3.10.c) ;

– Lorsque le flux d’électrons passe d’un niveau Mx

par le Vx

au Mx+1 (test de type

VxM

x+1), les lacunes se trouvant dans le cuivre du niveau Mx+1 (Figure 3.11.a) vont

migrer dans le sens opposé au flux d’électrons le long de l’interface la plus faible

Cu/barrière diélectrique (Figure 3.11.b). Elles vont s’accumuler et former une cavité

qui va croître au dessus du via et dans le via jusqu’à rompre la continuité entre les

deux lignes (Figure 3.11.c).

4La valeur de nb reflète le mécanisme qui gouverne la rupture. En effet, si l’étape de nucleation des cavités

est l’étape limitante du phénomène d’électromigration, nb est proche de 2, si c’est l’étape de croissance des

déplétions qui gouverne le temps à la rupture, la valeur de nb est proche de 1 (nb=[1 ;2]).5L’énergie d’activation reflète le chemin préférentiel de migration emprunté par les atomes de cuivre

lorsqu’ils sont soumis à un flux d’électrons. Voir le Tableau 1.3, Page 20.

59

CHAPITRE 3. INTÉGRATION ET ÉVALUATION DE PROCÉDÉS CUSIN

(a) Déplacement de lacunes. (b) Accumulation de lacunes.

(c) Défaillance.

Figure 3.10 : Schéma en coupe de la formation d’une cavité sous le via durant un test

d’électromigration de type VxM

x.

(a) Déplacement de lacunes. (b) Accumulation de lacunes.

(c) Défaillance.

Figure 3.11 : Schéma en coupe de la formation d’une cavité durant un test d’électromigra-

tion de type VxM

x+1.

60

3.1. PARAMÈTRES SUIVIS POUR L’ÉTUDE DES PROCÉDÉS CUSIN

Dans les deux cas, la croissance de la cavité conduit à l’augmentation de la résistance soit

du via Vx

pour VxM

xsoit de la ligne M

x+1 pour VxM

x+1. Le temps écoulé avant que la

résistance n’augmente de façon abrupte (10 à 20 % de la résistance initiale) correspond

au temps nécessaire à la cavité pour atteindre sa taille critique et détermine la durée de

vie. D’autre part, cette déplétion peut s’accompagner d’une extrusion de matière qui sera

détectée par la mesure des courants de fuite des lignes adjacentes à la ligne testée.

En ce qui concerne les tests d’électromigration, ils peuvent être effectués :

– soit directement sur la plaque, ce qui a pour avantage de donner rapidement des ré-

sultats utiles pour l’optimisation des procédés (réduction de la durée nécessaire pour

amener un procédé à maturité). Par contre, la température de la ligne testée est fixée

à la fois par le support chauffant et par effet Joule. Ceci requiert alors l’utilisation de

fortes densités de courant (de l’ordre de 50 MA/cm2) et d’adapter chaque densité de

courant en fonction des dimensions des interconnexions car ces dernières déterminent

la température produite par effet Joule. Pour atteindre la température désirée, il faut

alors déterminer le couple température de support et densité de courant grâce au pro-

tocole défini au Paragraphe 2.2.2.2, Page 36. Néanmoins, l’analyse des résultats ne

permet pas de dissocier l’effet de la densité de courant de celle de la température ;

– soit après mise en boîtier. Comme la température est fixée par l’environnement pour

toutes les dimensions d’interconnexions, les densités de courant utilisées sont fixes et

plus faibles (1, 5 MA/cm2), ce qui permet de s’affranchir de l’effet Joule et de dissocier

l’effet des différents paramètres expérimentaux. Par contre, l’intégration de niveaux

supplémentaires et des plots en aluminium est indispensable, ce qui correspond à un

certain coût en terme de temps et d’argent.

3.1.2.2 TDDB : Rupture diélectrique à dépendance chronologique

Le test de rupture diélectrique à dépendance chronologique, appelé Time-Dependent

Dielectric Breakdown (TDDB - Time Dependent Dielectric Breakdown) correspond au temps

nécessaire pour créer un chemin de conduction permanent dans un diélectrique soumis à un

champ électrique crée entre deux lignes. Dans notre cas, ce test sera appliqué entre deux

lignes d’un même niveau pour mettre en évidence l’influence des traitements de surface

post-CMP (même dispositif expérimental que pour la mesure de courant de fuite illustré

dans la Figure 3.1).

Le modèle le plus communément adopté est fondé sur la diffusion du cuivre sous forme

ionique [Wu03]. Le mécanisme de rupture peut être scindé en trois étapes majeures :

– ionisation du cuivre et injection d’ions cuivre de l’anode dans le diélectrique ;

– migration des ions cuivre dans le diélectrique ;

– formation de chemins de conduction dans le diélectrique.

Il existe cependant d’autres modèles qui permettent de déterminer également l’influence de

61

CHAPITRE 3. INTÉGRATION ET ÉVALUATION DE PROCÉDÉS CUSIN

l’humidité et d’espèces ioniques présentes dans les diélectriques [Cio06].

Le modèle unidimensionnel de transport d’ions cuivre Cu+ proposé par Wu et al. [Wu03]

relie le temps τBD

nécessaire pour la formation d’un passage permanent de cuivre ionisé

entre l’anode et la cathode aux propriétés du matériau diélectrique et aux conditions expé-

rimentales de test :

τBD

= Cte. exp

(

Ea− q.λ.E/2

k.T

)

(3.2)

avec E le champ appliqué et T la température de test. Les pentes de ln (τBD

) en fonction de

1/T et de E permettent respectivement de remonter à l’énergie d’activation Ea

(hauteur du

puits de potentiel) et à λ (la distance séparant deux sites adjacents). En terme de fiabilité,

un critère communément retenu est que l’extrapolation de τBD

à un champ E de 0, 2 MV/cm

et à une température de 100 C doit dépasser au moins 10 ans.

A partir de ces différents moyens de caractérisation électrique et de fiabilité, nous allons

évaluer l’influence de l’introduction des procédés CuSiN dans les interconnexions des généra-

tions technologique 90 et 65 nm, en tant que traitement de surface avant dépôt d’une barrière

diélectrique et en remplacement de barrières diélectriques comme barrières auto-positionnées.

3.2 Evaluation de procédés CuSiN

3.2.1 Description des procédés CuSiN

3.2.1.1 Applications

En terme d’équipement, les procédés CuSiN nécessitent un précurseur à base de silicium,

comme le silane ou le tri-methyl silane, de l’ammoniac et un générateur radio fréquence pour

générer des plasmas, ce que peut fournir une chambre de dépôt PECVD de barrières diélec-

triques. De plus, ce type d’équipement permet aux procédés CuSiN d’être introduits avant le

dépôt de barrières diélectriques (empilement hybride) de façon continue correspondant alors

à une étape de processus de dépôt.

Le mode d’intégration des procédés CuSiN dépend des objectifs liés à leur utilisation.

Si ces procédés sont introduits en tant que barrières auto-positionnées en remplacement des

barrières diélectriques présentes sur chaque niveau d’interconnexion, ils améliorent :

– les performances de propagation du signal en supprimant la contribution au couplage

de la barrière diélectrique [Gos04, Chh05] ;

– la sensibilité des capteurs d’images de type CMOS en réduisant le nombre de couches

traversée par la lumière avant d’atteindre la photo-diode [Gam05] (Figure 3.12) ;

– les performances de propagation du signal en facilitant la fabrication de cavités d’air

entre les lignes (air gaps) [Gos05] (Figure 3.13).

62

3.2. EVALUATION DE PROCÉDÉS CUSIN

Figure 3.12 : Intérêt des barrières auto-positionnées pour des applications de type capteur

d’images CMOS : la coupe schématique montre que lorsqu’une barrière diélectrique est pré-

sente sur chaque niveau métallique, la lumière doit traverser un grand nombre de couches

diélectriques avant d’atteindre la photo-diode, ce qui réduit la sensibilité du capteur. C’est

pourquoi, l’utilisation de barrières auto-positionnées améliore la sensibilité de ces capteurs.

(a) Dépôt de barrières auto-

positionnées.

(b) Dépôt d’un matériau

poreux.

(c) Retrait du matériau sa-

crificiel à travers le matériau

poreux.

Figure 3.13 : Intérêt des barrières auto-positionnées pour la fabrication de cavités d’air -

air gaps. L’utilisation de barrières auto-positionnées permet à la fois au matériau sacrificiel

de s’échapper à travers la couche poreuse mais également d’empêcher la diffusion du cuivre

dans la couche poreuse.

63

CHAPITRE 3. INTÉGRATION ET ÉVALUATION DE PROCÉDÉS CUSIN

Par contre, l’intégration de barrières auto-positionnées seules constitue un changement

radical de l’architecture d’intégration car la barrière diélectrique qui permet de contrôler

l’arrêt de la gravure est supprimée. Pour faciliter l’intégration du procédé CuSiN et étudier

l’influence de son introduction sur les performances électriques et de fiabilité tout en gardant

un schéma d’intégration inchangé, il semble plus simple dans un premier temps d’utiliser un

empilement dit hybride (CuSiN + barrière diélectrique).

Nous allons décrire les procédés CuSiN évalués dans un empilement hybride utilisant une

génération technologique 65 nm sur des plaques de 300 mm de diamètre puis ceux introduits

en tant que barrières auto-positionnées dans une génération technologique 90 nm sur des

plaque de 200 mm de diamètre6. Nous verrons également les contraintes expérimentales

associées à l’introduction de ces procédés avancés non-standards dans un flux d’intégration

de type production.

3.2.1.2 Nœud 65 nm : hybride CuSiN+SiCN

Le concept du procédé CuSiN utilisé pour le nœud 65 nm est différent de celui décrit dans

l’étude préliminaire. L’équipement utilisé, qui est destiné au dépôt de barrière diélectrique

SiN pour la filière 120 nm pour des plaques de 300 mm de diamètre, possède quatres plateaux

chauffants dont la température peut être fixée de façon indépendante.

Le procédé CuSiN démarre dès que l’échantillon se trouve sur son support chauffant. Ce

choix permet de réduire le budget thermique vu par l’échantillon ainsi que la durée totale

du procédé ce qui est un avantage pour l’introduction de procédés CuSiN en production.

0 10 20 30 400

50100150200250300350400

12

Décom-position partielle

Tempé

ratu

re (°

C)

Temps (sec.)

Décomposition complète du silane

Support à 400°C

Support à 300°C

7 9 19,5

(a) Variation de la température de la surface de

l’échantillon en fonction du temps.

0 5 10 15 20

Procédé A

Plasma

Plasma

Flux

SiH4+NH3

NH3

Durée (sec)

NH3 SiH4+NH3

NH3

FluxPlasma

Procédé B

(b) Schéma de principe des séquences des deux pro-

cédés étudiés.

Figure 3.14 : Détails sur les conditions expérimentales des procédés CuSiN évalués dans

un empilement hybride.

6A dimensions de puces égales, plus le diamètre d’une plaque de silicium est grande, plus le nombre de

puces fabriquées par plaque est élevé, ce qui réduit les coûts de fabrication des puces.

64

3.2. EVALUATION DE PROCÉDÉS CUSIN

(a) pCuSiN auto-limité. (b) Dépôt 3 nm SiN.(c) Air break, plasma NH3 et dé-

pôt SiCN.

(d) Dépôt SiOC (εr = 3, 1).

Figure 3.15 : Schéma d’intégration des procédés CuSiN dans un empilement hybride.

Par contre, comme la siliciuration se déroule durant la montée en température, son contrôle

n’est pas facilité. C’est pourquoi, afin d’homogénéiser les propriétés de siliciuration, il est plus

judicieux d’utiliser un procédé auto-limité comme présenté au Paragraphe 2.1.2.3, Page 29 :

une CVD de silane et d’ammoniac. Comme la nitruration est bénéfique pour la couche de

CuSiN formée, la séquence des procédés CuSiN étudiés est donc : siliciuration par CVD

SiH4 + NH3, puis nitruration par PECVD NH3. Connaissant la variation de température

de la surface d’un échantillon en fonction du temps passé sur un support chauffé à une

température donnée (Figure 3.14.a), nous nous proposons d’étudier deux procédés CuSiN

d’une durée maximale de 24 secondes (Figure 3.14.b) pour deux températures de support,

300 et 400 C.

L’étape précédant la siliciuration permet de distinguer les procédés A et B. En effet, la

siliciuration contenant déjà un agent réducteur (NH3), la réduction au préalable de l’oxyde

natif peut sembler superflue (Figure 3.14.b). D’autre part, la quantité de silicium disponible

pour la siliciuration est plus importante pour le procédé B : plus la siliciuration est tardive,

plus elle se fait à haute température et plus la quantité de silane dissocié est importante (Fi-

gure 3.14). Enfin, l’étape de siliciuration du procédé A débute à des températures proches

du seuil de décomposition du silane, ce qui minimise la quantité de silicium à incorporer,

d’autant plus que les durées de siliciuration sont très courtes. C’est pourquoi, pour com-

penser la faible quantité de silicium disponible, le débit de silane est élevé : 980 sccm, soit

980 cm3.min−1. Comme l’évaluation du procédé CuSiN se fait dans une technologie 65 nm,

la barrière diélectrique et le matériau à faible permittivité associés à ce nœud sont le SiCN

(εSiCN

r= 5) et le SiOC dense (εSiOC

r= 3, 1). Or, l’équipement utilisé pour le procédé CuSiN

ne permet de fabriquer qu’une barrière SiN (εSiN

r= 7) de la génération 120 nm. Il ne contient

ni précurseurs Tri-Méthyl Silane (TMS) ni quatre-méthyl silane nécessaires à la fabrication

65

CHAPITRE 3. INTÉGRATION ET ÉVALUATION DE PROCÉDÉS CUSIN

d’une barrière SiCN. Afin d’éviter toute rupture de l’atmosphère (air-break 7) entre le pro-

cédé CuSiN et le dépôt de la barrière diélectrique SiCN qui s’effectue alors dans un autre

équipement, une couche de 3 nm de SiN est déposée à 400 C sur le CuSiN sur l’un des trois

autres plateaux disponibles (Figures 3.15.a et b). Cette couche de SiN permet également

d’éviter l’oxydation de la surface du Cu durant la rupture du vide (mise de l’atmosphère), si

elle n’est totalement recouverte de CuSiN. Ensuite, les échantillons sont transférés dans un

bâti de dépôt de SiCN et continuent leur intégration (Figure 3.15.c et d).

3.2.1.3 Nœud 90 nm : barrière auto-positionnée CuSiN

Les conditions expérimentales et l’équipement sont les mêmes que ceux utilisés pour

l’étude préliminaire (Tableau 3.1). La décomposition du précurseur à base de silicium dépend

de la température de la surface de l’échantillon. Or, comme l’échantillon est chauffé par

son support, la cinétique de thermalisation de sa surface dépend du nombre de niveaux

séparant cette surface du support. Une étape préliminaire de thermalisation est introduite

afin d’obtenir les mêmes conditions de décomposition du précurseur à base de silicium pour

tous les niveaux d’interconnexion. En ce qui concerne les différents pompages, ils permettent

de retirer les gaz résiduels de l’étape précédente afin d’éviter toute interaction avec les gaz

de l’étape suivante.

En terme d’intégration, les températures des procédés CuSiN étant plus faibles que celles

des procédés de dépôts diélectriques, il a fallu retirer les échantillons du bâti de dépôt après

la formation du CuSiN (Figure 3.16.a), attendre la montée en température et les réintroduire

dans le bâti pour continuer leur intégration. Ceci constitue effectivement une rupture de l’at-

Tableau 3.1 : Conditions expérimentales des procédés CuSiN étudiés avec une technologie

90 nm

Thermalisation Nettoyage Pompage Siliciuration Pompage Nitruration Pompage

Durée (s) 30 60 10 10 10 60 10

T ( C) 300 ou 350 300 ou 350 300 ou 350 300 ou 350

P (Torr) 4, 2 4, 5 4, 5 4, 5

Gaz N2 NH3

SiH4 TMS

NH3

N2

Flux

(sccm)2500 145

40 50

145

4000

Plasma (W) 325 500

7La rupture de l’atmosphère conduit à la contamination de la surface des échantillons par des impuretés.

66

3.2. EVALUATION DE PROCÉDÉS CUSIN

(a) CuSiN barrière auto-

positionnée.(b) Air break.

(c) Plasma O2 et dépôt SiOC.

ou

(c) Dépôt SiOC.

Figure 3.16 : Schéma d’intégration des procédés CuSiN comme barrière auto-positionnée

avec ou sans plasma oxygène avant dépôt SiOC.

mosphère contrôlée (air break) (Figure 3.16.b). Néanmoins, contrairement au procédé CuSiN

intégré en mode hybride, celui introduit en tant que barrière auto-positionnée présente une

couche continue de CuSiN résistante contre l’oxydation8. Malgré cette propriété, on peut se

demander si le plasma oxygène précédant le dépôt du SiOC dense est adapté à l’intégration

de barrières auto-positionnées (Figure 3.16.c).

Après avoir décrit les différents procédés CuSiN et leurs modes d’intégration associés,

nous allons présenter les résultats concernant leur intégration en termes de performances

électriques et de fiabilité, tout d’abord dans le cas hybride puis comme barrières auto-

positionnées.

3.2.2 Evaluation de l’architecture hybride

3.2.2.1 Performances électriques

Courants de fuite

Comme les échantillons après CMP du métal 2 sont tous identiques, la dispersion des cou-

rants de fuite d’un échantillon à un autre est faible, inférieure à 0, 25 décade (Figure 3.17.a).

De plus, par rapport à la référence SiCN, la variation des courants de fuite des échantillons

CuSiN après intégration du niveau métal 3 n’est que de ±0,5 décade, ce qui signifie que les

procédés ne dégradent pas les courants de fuite (Figure 3.17.b).

8Les tests de barrières contre l’oxydation présentés dans le Chapitre 2 utilisent le même plasma à base

d’oxygène que celui avant le dépôt SiOC dans une technologie 90 nm, destiné à améliorer l’adhésion entre le

SiCN et le SiOC (Figure 3.16.c).

67

CHAPITRE 3. INTÉGRATION ET ÉVALUATION DE PROCÉDÉS CUSIN

-10 -9 -80

20

40

60

80

100

Après CMP métal 2 P

roba

bilit

é cu

mul

ée (%

)

Courant de fuite (dec)

0,25 dec

(a) Valeurs des courants de fuite du niveau métal 2

avant procédés CuSiN et/ou dépôt SiCN pour plu-

sieurs échantillons. Les différentes formes et couleurs

de légendes correspondent aux procédés CuSiN ef-

fectués par la suite.

-0,5 0,0 0,5 1,00

20

40

60

80

100

SiCN pCuSiN B 400°C + SiCN pCuSiN B 300°C + SiCN pCuSiN A 400°C + SiCN pCuSiN A 300°C + SiCN

Pro

babi

lité

cum

ulée

(%)

Variation normalisée des courants de fuite (dec)

(b) Variation normalisée des courants de fuite du ni-

veau métal 2 entre la mesure après pCuSiN + niveau

métal 3 et celle au niveau métal 2 post CMP.

Figure 3.17 : Influence des procédés CuSiN sur les courants de fuite.

Résistances de ligne

Les procédés CuSiN effectués avec un support chauffant à 400 C ont leur résistance plus

élevée que celle des procédés à 300 C (Figure 3.18). Cela confirme bien que plus la tempéra-

ture de la surface des échantillons est élevée et plus la quantité de silane dissocié est élevée,

ce qui conduit à une quantité d’atomes de silicium incorporés dans le cuivre plus importante.

Par contre, il est évident que lorsque la température de la surface du cuivre est inférieure à

celle nécessaire pour la dissociation du silane, il n’y a pas d’incorporation de silicium dans le

cuivre. Ceci devrait se traduire par des valeurs de résistances identiques à la référence. Or,

les valeurs de résistances des échantillons avec le procédé CuSiN B à 300 C sont inférieures

à celles la référence SiCN (Figure 3.18). Ce résultat peut s’expliquer ainsi :

– le procédé de dépôt SiN, effectué sur du cuivre non traité par les procédés CuSiN,

conduit à une légère incorporation de Si dans le Cu (cas de la référence SiCN) ;

– la température de la surface du cuivre dans le cas du procédé CuSiN B 300 C est infé-

rieure à celle de décomposition du SiH4, ce qui ne permet pas à ce procédé d’incorporer

du Si dans du Cu ;

– comme le procédé CuSiN B 300 C ne peut dissocier que du NH3 à la surface du cuivre,

il se forme une couche de CuN à la surface du cuivre empêchant l’incorporation de Si

durant l’étape de dépôt SiN [Nog01].

La faible variation de résistance du procédé CuSiN A à 400 C (médiane à 0, 25 %),

comparée à la forte augmentation de résistance induite par le procédé CuSiN B à 400 C

(médiane à 3, 5 %) (Figure 3.18), confirme bien nos attentes : la plus forte quantité de silicium

68

3.2. EVALUATION DE PROCÉDÉS CUSIN

-1 0 1 2 3 4 50

20

40

60

80

100

Pro

babi

lité

cum

ulat

ive

(%)

Variation normalisée des résistances (%)

Figure 3.18 : Variation de la résistance des lignes du niveau métal 2 entre la mesure avant

procédés CuSiN et/ou SiCN et celle après procédés CuSiN + intégration niveau métal 3,

normalisée par rapport à l’échantillon référence SiCN.

incorporé dans le cuivre se retrouve lorsque la température de la surface des échantillons

durant l’étape de siliciuration est la plus élevée, ce qui est le cas du procédé CuSiN B à

400 C (Figure 3.14). Par contre, la distribution étalée des résistances pour le procédé B à

400 C est attribuée à l’oxyde natif de cuivre non uniformément réparti sur l’ensemble de

l’échantillon avant la siliciuration. Les procédés CuSiN auto-limités étudiés dans cette partie

font intervenir une CVD de silane (SiH4) et d’ammoniac (NH3). La proportion de NH3 par

rapport au SiH4 limite la quantité de silicium incorporé dans le cuivre de deux manières :

– une faible quantité de NH3 ne peut pas retirer tout l’oxyde natif de cuivre [Guo97] qui

va alors bloquer l’incorporation de silicium dans le cuivre ;

– une trop forte quantité de NH3 forme une couche de nitrure à la surface du cuivre qui

va bloquer l’incorporation de silicium dans le cuivre [Nog01].

Il semble que, contrairement au procédé B à 300 C, celui à 400 C présente une quantité de

NH3 faible par rapport à celle de SiH4, ce qui a pour conséquence de retirer suffisamment

d’oxyde natif de cuivre pour que le silicium puisse s’incorporer mais pas assez pour supprimer

l’oxyde, expliquant ainsi la dispersion des résultats. Un plasma réducteur est donc nécessaire

pour homogénéiser et contrôler les propriétés de la siliciuration.

Capacité de couplage latérale

La présence d’une couche supplémentaire de 3 nm de SiN entre le CuSiN et le SiCN devrait

conduire à l’augmentation de la capacité de couplage latérale par rapport au cas référence.

Or, la Figure 3.19 montre l’inverse. Ceci s’explique par le fait que les valeurs de capacités

de couplage dépendent des propriétés intrinsèques des matériaux mais également des dimen-

sions des interconnexions qui fluctuent sur l’ensemble d’un échantillon (Figure 3.8) et d’un

69

CHAPITRE 3. INTÉGRATION ET ÉVALUATION DE PROCÉDÉS CUSIN

75 80 85 90 95 100 1050

20

40

60

80

100

SiCN pCuSiN B 400°C + SiCN pCuSiN B 300°C + SiCN pCuSiN A 400°C + SiCN pCuSiN A 300°C + SiCN

Pro

babi

lité

cum

ulée

(%)

Capacité (aF/µm)

Figure 3.19 : Capacité de couplage entre deux peignes du niveau métal 2 mesurée à partir

du niveau métal 3.

80 85 90

0,18

0,20

0,22 Après CMP métal 2

Rés

ista

nce

(m/C)

Capacité (aF/µm)

iso-RC

(a) Mesure après CMP du métal 2. Les différentes formes et couleurs corres-

pondent aux procédés CuSiN appliqués par la suite.

80 85 90 95 100

0,16

0,18

0,20

0,22 SiCN pCuSiN B 400°C + SiCN pCuSiN B 300°C + SiCN pCuSiN A 400°C + SiCN pCuSiN A 300°C + SiCN

Rés

ista

nce

(m/C)

Capacité (aF/µm)

iso-RC

(b) Mesure après CMP du métal 3.

Figure 3.20 : Résistance d’un serpentin de 100 nm de large du niveau métal 2 en fonction

de la capacité de couplage entre deux peignes espacés de 100 nm du niveau métal 2.

70

3.2. EVALUATION DE PROCÉDÉS CUSIN

échantillon à un autre9. Par contre, comme le pitch10 est un paramètre respecté par la photoli-

thographie, une augmentation de la largeur de la ligne s’accompagne automatiquement d’une

diminution de l’espacement entre deux lignes adjacentes pour que le pitch reste constant.

Ceci se traduit par une réduction de la résistance concomitante à une augmentation de la

capacité de couplage latérale. Dans le cas où les échantillons sont tous identiques (en termes

d’empilement, de matériaux et de procédés), lorsque la capacité de couplage est représentée

en fonction de la résistance, si les points de coordonnées (C ; R) sont dispersés mais suivent

une courbe dite iso-RC telle que R×C = Cte, alors la dispersion n’est due qu’à une fluctua-

tion des dimensions des interconnexions (effets géométriques) (Figure 3.20.a). Représenter la

capacité en fonction de la résistance permet donc de dissocier les effets géométriques de ceux

des procédés CuSiN appliqués qui peuvent modifier les propriétés intrinsèques des matériaux

(permittivité et résistivité).

Après intégration du niveau métal 3, seuls les points expérimentaux des procédés CuSiN

B et A à 400 C sont décalés et uniquement vers le haut (Figure 3.20.b). Cette augmentation

de résistance provient de l’incorporation plus importante de silicium dans le cuivre pour

des températures de support chauffant plus élevées. Par contre, ni les procédés CuSiN, ni la

couche de 3 nm de SiN ne modifient la capacité de couplage latérale de façon significative.

Les différences de capacités de couplage entre les échantillons observées dans la Figure 3.19

ne sont dues qu’à la fluctuation des largeurs de lignes d’un échantillon à un autre.

Résistance de via

L’étude de la résistance des vias concerne les vias qui sont formés au dessus du niveau

métal 2 traités par les procédés CuSiN.

Dans le cas des vias isolés dont le diamètre est égal à la largeur des lignes qu’ils relient,

les échantillons CuSiN ont leurs valeurs de résistances de via et leurs distributions quasiment

identiques à celles de l’échantillon référence (Figure 3.21.a). L’empilement formé par la couche

de CuSiN et celle de 3 nm de SiN est donc totalement retiré par le procédé de gravure lors de

l’ouverture de la barrière SiCN (Figure 3.7). Ceci signifie que le CuSiN est compatible avec

la gravure et ne nécessite aucune optimisation ou développement de procédés spécifiques.

Une structure de vias isolés dont le diamètre est 10 nm plus important que la largeur

de la ligne sous-jacente (via de 110 nm de diamètre, lignes de 100 nm de large) permet de

mettre en évidence l’effet de la surgravure du via. Comme la couche de SiCN, qui permet de

limiter la surgravure (couche de contrôle de l’arrêt de la gravure), est présente sur tous les

échantillons, aucun différence de comportement des valeurs de résistances entre les échan-

tillons CuSiN et la référence n’est observée (Figure 3.21.b).

9Cette fluctuation des dimensions des interconnexions provient de l’étape de photolithographie.10Pitch p : pas de répétition des motifs correspondant à la somme de la largeur d’une ligne w et de l’espace

s qui la sépare de sa ligne voisine : p=w+s.

71

CHAPITRE 3. INTÉGRATION ET ÉVALUATION DE PROCÉDÉS CUSIN

3 4 5 60

20

40

60

80

100

SiCN pCuSiN B 400°C + SiCN pCuSiN B 300°C + SiCN pCuSiN A 400°C + SiCN pCuSiN A 300°C + SiCNP

roba

bilit

é cu

mul

ée (%

)

Résistance de via ( )

(a) Cas avec un diamètre du via égale à la largeur de

la ligne sous-jacente.

13 14 15 16 170

20

40

60

80

100

SiCN pCuSiN B 400°C + SiCN pCuSiN B 300°C + SiCN pCuSiN A 400°C + SiCN pCuSiN A 300°C + SiCNP

roba

bilit

é cu

mul

ée (%

)

Résistance de via ( )

(b) Cas d’un diamètre du via supérieur de 10 nm à

la largeur de la ligne sous-jacente.

Figure 3.21 : Résistance de vias Kelvin isolés pour différents diamètres de via et une largeur

de ligne sous-jacente fixe de 100 nm.

En conclusion, le seul effet des procédés CuSiN en tant que traitement de surface avant

le dépôt d’une barrière diélectrique correspond à une augmentation de la résistance des

lignes du fait de l’incorporation de silicium dans le cuivre durant l’étape de siliciuration.

Cette dégradation, qui est contrôlée par les conditions de procédés CuSiN, est au maximum

de l’ordre de 5 % et peut être réduite jusqu’à être négligeable11 . Par contre, les procédés

CuSiN ne modifient en rien les performances électriques des interconnexions en terme de

courant de fuite, de capacité de couplage et de résistance de via, ce qui rend leur introduction

compatible d’un point de vue électrique avec les procédés d’intégrations utilisés pour le nœud

technologique 65 nm. Il s’agit donc de déterminer l’influence de ces procédés CuSiN sur les

propriétés d’adhésion entre le cuivre et une barrière diélectrique SiCN et par conséquent, sur

les performances de fiabilité.

3.2.2.2 Fiabilité

Conditions de tests

Le type de test VxM

x+1 avec x=1 (V1M2) est le plus adapté à l’étude de l’interface

Cu/SiCN. La mise en boîtier des puces autorise l’utilisation d’une densité de courant faible

de 1, 5 MA/cm2. Les structures de test sont des lignes métal 2 de 250 µm de long qui se

11Les variations de procédé modifient les dimensions des interconnexions (hauteur, largeur) d’une puce à

une autre et d’une plaque à une autre. Une augmentation de 10 nm de la largeur d’une ligne initialement

de 115 nm due à une dispersion du procédé de photolithographie (différence observée entre une puce du

centre de la plaque et une puce du bord - Figure 3.8, Page 57) augmente la résistance d’environ 8 %. Cette

augmentation de la résistance s’accentuera pour les générations technologiques à venir du fait de la réduction

des dimensions des interconnexions.

72

3.2. EVALUATION DE PROCÉDÉS CUSIN

terminent par un via 1 de 100 nm de diamètre reliant le métal 1 de 5 µm de large. De telles

structures permettent de suivre la formation de cavités par le phénomène d’électromigration

dans la cathode, i.e. le lieu de l’injection du courant (Figure 3.11) en évitant toute considéra-

tion de formation de cavité sous le via due à de mauvaises conditions de gravure via. De plus,

deux largeurs de ligne de test, 110 nm et 3 µm sont utilisées afin de déterminer l’influence

de la microstructure du cuivre sur les performances de fiabilité dues aux procédés CuSiN.

Comme les tests comptent trois températures, 220, 260 et 300 C, il est possible de remonter

à l’énergie d’activation. Enfin, étant donné que le procédé CuSiN B 300 C n’incorpore pas

de silicium dans le cuivre, son effet sur la fiabilité ne sera pas étudié.

Résultats

Le critère de défaillance retenue dans notre étude correspond à une augmentation de

la résistance de la ligne testée de 20 %. La Figure 3.22 montre l’évolution typique de la

résistance des lignes en fonction du temps de test pour l’échantillon CuSiN A 400 C. Il en

ressort deux types de comportement : (i) une augmentation abrupte de la résistance due à la

formation d’une cavité critique dans la ligne et (ii) une augmentation abrupte qui n’atteint

pas le critère des 20 %, suivie d’une augmentation graduelle de la résistance. Dans ce dernier

cas, le critère de défaillance correspondra non plus à 20 % d’augmentation de la résistance,

mais au premier saut.

0 200 400 600 800 1000 1200400

450

500

550

600

650

700

750

800

Augmentationgraduelle

Rés

ista

nce

()

Durée de test (heures)

Augmentationabrupte

Figure 3.22 : Exemple de suivi de l’évolution de résistances de plusieurs lignes de 110 nm

de large testées en électromigration à 220 C avec une densité de courant de 1,5 MA/cm2 :

échantillon CuSiN A 400 C + SiCN.

La Figure 3.23.a représente la distribution lognormale12 des durées de vie des lignes de

110 nm de large, testées à 220 C dont les valeurs de durée de vie médiane et de déviation12Une distribution normale correspond à la distribution de probabilités d’une variable aléatoire continue

dont la courbe est parfaitement symétrique, unimodale correspondant à une gaussienne. Une distribution

73

CHAPITRE 3. INTÉGRATION ET ÉVALUATION DE PROCÉDÉS CUSIN

102 103 1042

10

30

50

70

90

98

x4 SiCNpCuSiN B 400°C + SiCNpCuSiN A 400°C + SiCNpCuSiN A 300°C + SiCN

Extrapolation de pCuSiN B 400°C + SiCNP

roba

bilit

é cu

mul

ée (%

)

Durée de vie (heures)

x10

(a) Ligne métal 2 de 110 nm de large testée à 220 C.

10-1 100 101 102 1032

10

30

50

70

90

98

SiCN pCuSiN B 400°C + SiCN pCuSiN A 400°C + SiCN

Pro

babi

lité

cum

ulée

(%)

Durée de vie (heures)

x2

(b) Ligne métal 2 de 3 µm de large testée à 260 C.

Figure 3.23 : Distribution lognormale des résultats de tests d’électromigration sous une

densité de courant de 1,5 MA/cm2 après 1500 heures.

Tableau 3.2 : Comparatif des résultats d’électromigration V1M2 à 220 C des lignes de

110 nm de large pour différents échantillons.

Procédés RéférencepCuSiN B

400 C

pCuSiN A

400 C

pCuSiN A

300 C

Durée de vie

médiane (heures)213 2000 590 780

Déviation standard 0, 14 0, 63 0, 42 0, 33

Défaillance graduelle 0/16 3/5 4/15 1/14

standard sont reportées dans le Tableau 3.2. Malgré une dispersion légèrement plus élevée,

les échantillons CuSiN montrent des durées de vie beaucoup plus élevées que la référence.

Dans le cas particulier de l’échantillon CuSiN B 400 C, certaines puces n’ont pas failli après

103 heures de test. Toutefois, étant donné que tous les échantillons CuSiN suivent une loi

normale, il est possible d’extrapoler la durée de vie de ces puces qui n’ont pas failli. Alors

que les autres procédés CuSiN améliorent la durée de vie des interconnexions sous test

d’un facteur 4 par rapport à la référence SiCN, le procédé CuSiN B 400 C apporte un gain

d’un facteur 10. Ce gain plus important s’explique par une plus grande quantité de silicium

incorporée à la surface du cuivre. En effet, comme un grain de cuivre siliciuré correspond

à un point d’« accroche » entre le cuivre et la barrière SiCN, une grande grande quantité

de grains siliciurés améliore l’adhésion entre le cuivre et le SiCN et donc augmente la durée

de vie des interconnexions. Pour le procédé CuSiN A, bien que celui à 300 C présente des

performances légèrement meilleures en termes de durée de vie et de distribution que celui à

normale de moyenne µ et d’écart type σ est définie par la formule : y =1

2.π

. exp−1

2

(

x−µ

σ

)2. Dans une

représentation lognormale, une distribution est dite normale si les points sont alignés sur une droite.

74

3.2. EVALUATION DE PROCÉDÉS CUSIN

101 102 103 10412

10

305070

90

98P

roba

bilit

é cu

mul

ée (%

)

Durée de vie (heures)

300°C 260°C 220°C

(a) Échantillon CuSiN B 400 C.

101 102 103 10412

10

305070

90

98

300°C 260°C 220°C

Pro

babi

lité

cum

ulée

(%)

Durée de vie (heures)

(b) Échantillon CuSiN A 400 C.

Figure 3.24 : Distribution lognormale des résultats de tests d’électromigration pour les

échantillons CuSiN B et A effectués à 400 ˚C pour une largeur de ligne de 110 nm sous une

densité de courant de 1,5 MA/cm2, pour des températures égales à 220, 260 et 300 C.

Tableau 3.3 : Comparatif des résultats d’électromigration V1M2 des lignes de 110 nm pour

différentes températures de tests et une densité de courant de 1,5 MA/cm2 pour les échan-

tillons CuSiN B et A (procédés CuSiN avec un support à 400 C).

T=220 C T=260 C T=300 C

Procédés CuSiN à

400 CA B A B A B

Durée de vie

médiane (heures)590 2000 160 610 34 130

Déviation standard 0, 42 0, 63 0, 69 0, 58 0, 27 0, 67

Nombre de

défaillance

graduelle/total

4/15 3/5 10/14 11/15 14/16 14/15

400 C, il n’a pas pu être étudié pour d’autres températures ni pour des largeurs de lignes de

3 µm car un grand nombre de puces ne fonctionnait plus après mise en boîtier.

En considérant des populations normales, l’extrapolation de la durée de vie (TTF) à

0, 1 % permet de calculer les énergies d’activation pour les échantillons CuSiN A et B 400 C

et pour la référence SiCN (Figure 3.24) : respectivement 0, 86, 0, 93 et 0, 8 eV. Bien que

l’ordre de grandeur de ces énergies corresponde toujours à une migration des atomes de

cuivre sous un flux d’électrons à l’interface supérieure du cuivre, l’adhésion entre le cuivre

et la barrière SiCN augmente avec la quantité de silicium incorporée dans le cuivre. D’autre

part, une diffusion du silicium de la couche de CuSiN dans le cuivre, favorisée par une

contrainte thermique permet d’expliquer l’augmentation du nombre défaillances graduelles

75

CHAPITRE 3. INTÉGRATION ET ÉVALUATION DE PROCÉDÉS CUSIN

avec la température de test (Tableau 3.3). Ceci signifie alors que les couches de CuSiN

considérées ne sont pas stabilisées et nécessitent une nitruration plus importante.

Pour des largeurs de ligne de 3 µm, les procédés CuSiN A et B effectués à 400 C sont

comparés à la référence pour une température de test de 260 C et une densité de courant

de 1, 5 MA/cm2 (Figure 3.23.b). Tous les échantillons présentent une distribution bi-modale

de leur durée de vie, même la référence SiCN. Ce caractère bi-modal, qui n’est donc pas

spécifique aux procédés CuSiN, peut provenir des procédés d’intégration et/ou de la struc-

ture de test. Par contre, la durée de vie des échantillons CuSiN A et B est deux fois plus

longue que celle de l’échantillon référence. Ce gain remarquable est toutefois moins impor-

tant que pour les lignes de largeurs plus faibles (Figure 3.23.a,) ce qui confirme l’influence

de la microstructure du cuivre13 sur l’efficacité des procédés CuSiN. Néanmoins, les résultats

sur lignes larges sont secondaires par rapport à ceux des lignes étroites. En effet, dans un

circuit intégré, comme ce sont les lignes les plus étroites qui sont traversées par les densi-

tés de courant les plus fortes, elles doivent être les plus résistantes contre les phénomènes

d’électromigration.

Pour conclure, l’introduction des procédés CuSiN avant le dépôt d’une barrière diélec-

trique améliore considérablement la durée de vie des interconnexions sous test. Ce gain par

rapport à un échantillon référence, qui dépend directement de la quantité de silicium incor-

porée durant l’étape de siliciuration, atteint sous test et pour les lignes étroites jusqu’à un

facteur 10.

Analyse de défaillance

Les observations MEB des structures testées en électromigration montrent que les dé-

faillances précoces pour le procédé CuSiN B 400 C sont dues à une rupture du via à sa base.

Comme aucun procédé spécifique n’a été appliqué sur la surface du métal 1, il s’agit non pas

de l’effet du procédé CuSiN, mais d’une faiblesse dans l’intégration du via (Figure 3.25.a).

Par contre, les défaillances tardives correspondent à la formation d’une cavité critique initiée

à l’interface entre le cuivre et la barrière diélectrique (Figure 3.25.a), ce qui confirment bien

que l’introduction de procédés CuSiN avant le dépôt d’une barrière diélectrique ne modifie

pas le lieu des phénomènes d’électromigration (interface Cu/SiCN).

3.2.2.3 Conclusion

L’objectif associé à l’introduction des procédés CuSiN comme traitement de surface dans

une architecture d’intégration standard est parfaitement rempli en termes d’intégration et

de performances électriques et de fiabilité.

En effet, l’intégration de ces procédés, qui n’affecte quasiment pas les performances élec-

13Les lignes de 3 µm de large présente une microstructure de type quasi-bambou alors que celles de 110 nm,

une structure bambou.

76

3.2. EVALUATION DE PROCÉDÉS CUSIN

(a) Cavité formée par électromigration pour une dé-

faillance tardive.

(b) Cavité formée par électromigration pour une dé-

faillance précoce.

Figure 3.25 : Localisation des défaillances en fonction de la durée du test d’électromigration

observées par MEB pour le procédé CuSiN B 400 C sur des lignes de 110 nm de large.

triques des interconnexions, ne nécessite aucune modification du schéma d’intégration, ni de

développements spécifiques de procédés. Les performances de fiabilité varient en fonction de

la largeur des lignes testées, ce qui met en exergue l’influence de la microstructure du cuivre

sur l’efficacité de la siliciuration. Enfin, bien que l’interface Cu/SiCN soit toujours l’interface

où ont lieu les phénomènes d’électromigration, un gain d’un facteur 10 est obtenu pour les

lignes étroites lorsqu’un procédé CuSiN précède le dépôt d’une barrière diélectrique SiCN.

Néanmoins, comme les procédés CuSiN peuvent également former des couches de CuSiN

auto-positionnées efficaces contre la diffusion du cuivre et son oxydation, leur utilisation en

remplacement d’une barrière diélectrique permettrait d’améliorer également les performances

de propagation du signal.

3.2.3 Evaluation de la barrière auto-positionnée CuSiN

Les procédés CuSiN dont le but est de former des barrières auto-positionnées en rempla-

cement de barrières diélectriques sont appliqués sur des échantillons de 200 mm de diamètre

dans une technologie 90 nm avec du SiOC dense (εSiOC

r= 3, 1). Aucune modification des

procédés existants n’a été effectuée pour leur intégration sur un niveau métal 2 (Figure 3.26).

Nous allons déterminer l’effet de ces barrières auto-positionnées CuSiN sur les perfor-

mances électriques du niveau métal 2, en termes de courants de fuite, de résistances de ligne,

de capacités de couplage et de résistances de via en fonction des paramètres des procédés

CuSiN.

77

CHAPITRE 3. INTÉGRATION ET ÉVALUATION DE PROCÉDÉS CUSIN

(a) Barrière SiCN sur le métal 2. (b) Barrière CuSiN seule sur le métal 2.

Figure 3.26 : Coupes MEB transversales d’une chaîne de vias mettant en évidence le retrait

de la barrière diélectrique SiCN dans le cas de la barrière auto-positionnée CuSiN.

3.2.3.1 Performances électriques

Pour cette étude, nous utiliserons la nomenclature CuSiN/SiH4 et CuSiN/TMS respec-

tivement pour les procédés avec un précurseur silane et tri-méthyl-silane. Dans le schéma

d’intégration, le dépôt de SiOC est précédé d’un plasma à base d’oxygène destiné à améliorer

l’adhésion entre le SiCN et le SiOC. Bien que ce plasma ne soit plus utile dans le cas des

barrières auto-positionnées, nous allons quand même déterminer son influence sur les échan-

tillons CuSiN. En présence de ce plasma oxygène, la nomenclature désignant les procédés

CuSiN utilisés sera suivi de « + O2 ». Les structures de tests utilisées pour la mesure des

courants de fuite et des résistances sont des peignes / serpentins de 140 nm de large, de

70 mm de long et espacés de 140 nm. Les capacités de couplage se mesurent grâce à des

peignes inter-digités de pitch 280 nm et les vias utilisés pour la mesure de résistance de via

ont un diamètre de 130 nm.

Courants de fuite et résistance

En l’absence du plasma oxygène, les procédés CuSiN ne dégradent pas les courants de

fuite (Figure 3.27.a). Par contre, comme attendu (Paragraphe 2.2.1, Page 30), les résistances

pour CuSiN/SiH4 sont plus élevées que pour CuSiN/TMS (toutefois inférieure à 0, 6 % pour

la valeur médiane) car la quantité de silicium incorporée est la plus grande (Figure 3.27.b).

Comme décrit dans le Paragraphe 2.2.2.1, Page 32, l’application d’un plasma oxygène à

la surface d’une couche de CuSiN/TMS conduit à la formation d’une couche épaisse d’oxyde

de cuivre (Figure 2.7, Page 33). Cet oxyde de cuivre, à partir duquel l’injection d’ions cuivre

est plus facile que depuis un cuivre non oxydé, explique les fortes valeurs de courants de

fuite. De plus, la transformation du cuivre en oxyde dans toute la hauteur de la ligne peut

78

3.2. EVALUATION DE PROCÉDÉS CUSIN

0 1 2 3 4 5 60

20

40

60

80

100

SiCN CuSiN/SiH4 + O2

CuSiN/SiH4

CuSiN/TMS + O2

CuSiN/TMSPro

babi

lité

cum

ulée

(%)

Variation normalisée des courants de fuite (dec)

(a) Variation des courants de fuites.

-1 0 1 2 3 40

20

40

60

80

100

SiCN CuSiN/SiH4 + O2

CuSiN/SiH4

CuSiN/TMS + O2

CuSiN/TMSPob

abili

té c

umul

ée (%

)

Variation normalisée des résistances (%)

(b) Variation des résistances.

Figure 3.27 : Variation des courants de fuite et des résistances entre la mesure après CMP

du métal 2 et celle après intégration du niveau supérieur, normalisée par rapport à celle de

la référence SiCN.

être à l’origine des valeurs infinies des résistances (1010 mΩ/µm).

Dans le cas du CuSiN/SiH4 + O2, la dégradation des courants de fuite est limitée (mé-

diane à 0, 6 décade) et celle des résistances inférieures à 5 %. Ce résultat confirme bien que

le procédé CuSiN avec silane est plus résistant contre l’oxydation que celui avec TMS (Para-

graphe 2.2.2.1). Le CuSiN/SiH4 présente toutefois une légère augmentation de la résistance

après plasma oxygène (médiane à 0, 6 % sans plasma oxygène, à 1, 8 % avec plasma oxy-

gène), ce qui traduit une oxydation mineure de la surface. Néanmoins, l’étude faite sur des

échantillons sans motifs (Paragraphe 2.2.2.1) montrait que le CuSiN/SiH4 était une barrière

efficace contre l’oxydation du cuivre (Figure 2.7). Cette différence de comportement de la

couche de CuSiN vis-à-vis du plasma oxygène met en évidence encore une fois l’influence

de la microstructure du cuivre sur les propriétés de la siliciuration. Le plasma oxygène qui

précède le dépôt SiOC, utilisé pour améliorer l’adhésion entre le SiCN et le SiOC doit donc

être supprimé car il n’est pas adapté aux procédés CuSiN utilisés ici.

Capacité de couplage

Les structures de capacité de couplage ont un pitch fixe de 280 nm avec des largeurs w

de ligne variant de 120 à 160 nm par pas de 10 nm. Pour avoir une plus grande popu-

lation dans une représentation de la résistance en fonction de la capacité de couplage, il

est possible de regrouper les structures de tests par produit RC attendu. En considérant

des équations basiques de résistance et de capacité de couplage plane (Equations 1.1 et

1.2), le produit RC est inversement proportionnel au produit w.s. On peut alors grouper

les structures

s = 130 nm

w = 150 nm

avec

s = 150 nm

w = 130 nm

ou encore

s = 120 nm

w = 160 nm

avec

79

CHAPITRE 3. INTÉGRATION ET ÉVALUATION DE PROCÉDÉS CUSIN

180 200 220 240 260

550

600

650

700

750

s=130 nm

SiCN CuSiN/SiH4

CuSiN/TMSR

ésis

tanc

e (m

/µm

)

Capacité de couplage (aF/µm)

iso-RC

s=150 nm

Figure 3.28 : Evolution de la résistance des lignes en fonction de la capacité de couplage

latérale pour un pitch de 280 nm : w × s=130 × 150 nm2.

s = 160 nm

w = 120 nm

. Comme dans les deux cas, les résultats sont similaires, nous ne présente-

rons ici que les structures

s = 130 nm

w = 150 nm

avec

s = 150 nm

w = 130 nm

.

Comme attendu, pour une valeur de capacité donnée, la valeur de résistance du Cu-

SiN/TMS, identique à celle de la référence SiCN, est plus faible que celle du procédé

CuSiN/SiH4 (Figure 3.28) car ce dernier incorpore plus de silicium dans le cuivre. Pour

une valeur de résistance donnée, les capacités de couplage des échantillons CuSiN/SiH4 et

CuSiN/TMS sont identiques et plus faibles de 5 % que celles de la référence. Ce résultat

est en bon accord avec celui déterminé par la simulation dans le cas du retrait de 40 nm de

SiCN de permittivité relative εSiCN

r=5 dans une technologie 90 nm (résultats de simulations

reportés dans la Figure 1.10, Page 17). Par rapport à la référence SiCN, le plus faible produit

RC pour le CuSiN/TMS (−4, 2 %) n’est dû qu’à une plus faible capacité de couplage. Pour

le CuSiN/SiH4, la réduction de produit RC n’est que de 1 % car le gain sur la capacité de

couplage est compensé par une augmentation de la résistance due à la siliciuration.

L’introduction de barrières auto-positionnées CuSiN en remplacement des barrières di-

électriques SiCN diminue bien la capacité de couplage latérale et donc la permittivité effective

de l’ensemble des interconnexions. Par contre, en termes de performance de propagation du

signal, le gain sur la capacité de couplage peut être annulé par une augmentation trop im-

portante de la résistance des lignes de cuivre par les procédés CuSiN. De nouveaux, l’étape

de siliciuration s’avère être critique, correspondant alors à un compromis : l’incorporation

de silicium doit être limitée pour ne pas trop dégrader la résistance mais elle doit être suf-

fisante pour former une couche continue de CuSiN sur les lignes de cuivre afin d’empêcher

80

3.2. EVALUATION DE PROCÉDÉS CUSIN

l’oxydation et la diffusion du cuivre.

Résistances de vias

Le premier problème lié à l’introduction de barrières auto-positionnées est l’absence de

couche de contrôle de l’arrêt de la gravure du via, notamment lorsque le via est désaligné

par rapport à la ligne du niveau inférieur. Pour déterminer l’effet d’un tel désalignement,

on utilise une structure de test composée d’une chaîne de 10 000 vias du niveau 2 (V2) de

130 nm de diamètre reliant un niveau métal 2 (M2) de 140 nm de large (pitch 280 nm) et un

(a) Coupe schématique de la struc-

ture de test avant le dépôt de la

barrière métallique.

(b) Vue de dessus de la structure

de test avant dépôt barrière métal-

lique.

(c) Image MEB en vue de dessus

d’un via désaligné par rapport à la

ligne sous-jacente.

Figure 3.29 : Description d’une structure de test de métal 3 et via 2 (tous deux désalignés

de 40 nm par rapport au métal 2).

niveau métal 3 (M3) de 280 nm de large (pitch 560 nm). Le désalignement du M3&V2 est de

40 nm par rapport au M2 (Figure 3.29).

Par rapport au cas où le via est aligné par rapport à la ligne sous-jacente, le cas désali-

gné a une résistance du via plus importante car la section conductrice est réduite. Pour un

désalignement de 40 nm, la référence SiCN (pour laquelle la fin de gravure du via est bien

contrôlée) et les barrières auto-positionnées présentent une augmentation de leur valeur de

résistance de via similaire (médiane à 22 % pour SiCN et 25 % pour CuSiN) (Figure 3.30).

Ces résultats montrent que les procédés de gravure sont parfaitement adaptés au désaligne-

ment des vias même sans couche de SiCN dans l’environnement diélectrique considéré. Une

observation MET associée à une cartographie EELS dans le cas d’un désalignement sans

SiCN met en évidence une surgravure effectivement limitée, sans formation de cavité (Fi-

gure 3.32). Il serait toutefois intéressant de déterminer l’effet de cette légère surgravure sur

les phénomènes d’électromigration.

Dans le cas du procédé CuSiN/SiH4, même si certaines puces présentent les mêmes valeurs

de résistance de via que la référence, la majeure partie des puces ont des résistances de

via plus élevées et plus étalées que celles de la référence (Figure 3.31). Contrairement au

cas hybride précédemment décrit (Paragraphe 3.2.2.1, Page 71), les conditions de gravure

81

CHAPITRE 3. INTÉGRATION ET ÉVALUATION DE PROCÉDÉS CUSIN

2 4 6 8 100

20

40

60

80

100

SiCN vias aligés SiCN vias désalignés CuSiN vias alignés CuSiN vias désalignésP

roba

bilté

cum

ulée

(%)

Résistance ( /via)

Figure 3.30 : Effet d’un désalignement in-

tentionnel d’un via V2 par rapport à la ligne

sous-jacente M2 de 40 nm sur les résistances

de via.

2 4 6 8 10 12 14 160

20

40

60

80

100

SiCN sans recuit SiCN avec un recuit SiCN avec deux recuits CuSiN sans recuit CuSiN avec un recuit CuSiN avec deux recuitsP

roba

bilit

é cu

mul

ée (%

)

Résistance ( /via)

Figure 3.31 : Évolution de la résistance d’une

chaîne de 10 000 vias V2 de 130 nm de dia-

mètre (vias alignés) après recuit de 400 C du-

rant 50 min.

(a) Cas avec SiCN. (b) Cas sans SiCN.(c) Image MET et carto-

graphie EELS dans le cas

sans SiCN (rouge : Ti,

vert : Cu).

Figure 3.32 : Observation MET et cartographie EELS de l’effet du désalignement d’un via

de 40 nm par rapport à la ligne sous-jacente dans le cas d’une technologie 90 nm avec un

SiOC dense (εSiOC

r= 3,1).

82

3.2. EVALUATION DE PROCÉDÉS CUSIN

0 500 1000 1500 2000

101

102

103

104

105

106 Ta (CuSiN/TMS) Si (CuSiN/TMS) Ta (CuSiN/SiH4) Si (CuSiN/SiH4)

Intens

ité (c

oups

)

Temps d'érosion (sec)

SiO2

TaN

Cu surface SIMS

SiO2

TaN

CuSiNCu

+ Recuit 400°C durant 50 min

Figure 3.33 : Profils SIMS (Cs+) du Ta et du Si pour des échantillons CuSiN/SiH4 et

CuSiN/TMS recouverts de TaN et de SiO2 obtenus un après recuit 400 C durant 50 min.

(standards) ne sont donc pas suffisantes pour retirer complètement et de façon homogène

le CuSiN sous le via. Cette différence de comportement provient d’une épaisseur de CuSiN

plus importante pour le cas auto-positionné qui nécessite alors soit une optimisation de la

gravure, soit le développement d’un procédé spécifique. D’autre part, contrairement à la

référence, les résistances de via pour le procédé CuSiN augmentent de façon significative

après un recuit 400 C durant 50 minutes et davantage après un second recuit 400 C durant

50 minutes (Figure 3.31). Cette augmentation de la résistance avec le nombre de recuits peut

provenir d’une interaction entre le CuSiN et le TaN activée thermiquement.

Pour déterminer la stabilité de l’interface CuSiN/TaN, une étude de l’évolution après

un recuit 400 C durant 50 minutes des profils SIMS du Ta et du Si est menée sur des

échantillons pleine plaque avec l’empilement Cu/CuSiN/TaN/SiO2. La Figure 3.33 montre

que le signal de Ta dans le Cu augmente avec la quantité de silicium incorporée dans le

cuivre. L’épaulement du Ta dans le Cu peut être soit :

– un artefact dû à une rugosité à l’interface CuSiN/TaN induite par le procédé CuSiN,

plus marquée dans le cas du silane ;

– une diffusion du Ta dans le CuSiN due à une réaction entre le Ta et le Si du CuSiN

[Jia02a], ce qui expliquerait que la profondeur du signal du Ta dans le Cu dépende de

celle du Si.

La rugosité à l’interface CuSiN/TaN ne peut pas expliquer l’augmentation de la résistance

des vias en fonction du budget thermique. Par contre, une interaction entre le Ta et le Si

activée thermiquement pour former une couche plus résistive peut plus probablement expli-

quer l’augmentation de la résistance des vias avec le budget thermique. Il est donc nécessaire

de retirer complètement le CuSiN sous les vias pour ne pas dégrader leurs résistances.

83

CHAPITRE 3. INTÉGRATION ET ÉVALUATION DE PROCÉDÉS CUSIN

(a) Cas de l’intégration de vias avec PVD

standard TaN/Ta.

(b) Cas de l’intégration de vias avec PT du-

rant la PVD TaN.

Figure 3.34 : Coupes transversales prises au MET de vias intégrant le procédé PunchThrough (PT).

0 2 4 6 8 100

20

40

60

80

100

SiCN SiCN avec PT CuSiN CuSiN avec PT

Pro

babi

lité

cum

ulée

(%)

Résistance ( /via)

(a) Effet du Punch Through

1 2 3 40

20

40

60

80

100

SiCN sans recuit SiCN avec un recuit SiCN avec deux recuits CuSiN sans recuit CuSiN avec un recuit CuSiN avec deux recuitsP

roba

bilité

cum

ulée

(%)

Résistance ( /via)

(b) Effet de différents budgets thermique sur la résis-

tance des vias avec le procédé Punch Through.

Figure 3.35 : Influence du procédé Punch Through sur la résistance d’une chaîne de 10 000

vias V2 de 130 nm de diamètre (vias alignés).

La solution adoptée pour graver le CuSiN est le procédé dit de Punch Through (PT) qui

consiste à pulvériser le fond des vias grâce à des ions argon accélérés par une différence de

potentiel dirigé vers l’échantillon durant le dépôt du TaN par PVD [Yam00, Kum04]. Dans

une intégration standard, le procédé Punch Through14 permet de faire pénétrer le via plus

profondément dans la ligne de cuivre sous-jacente (de plusieurs dizaines de nanomètres) et de

14Il existe plusieurs techniques de Punch Through. Par exemple, le procédé peut débuter par le dépôt d’une

couche fine de TaN, puis elle est pulvérisée par les ions argon. Le nombre d’itération dépôt/pulvérisation est

variable. Il est également possible de déposer une fine couche de TaN, puis la pulvériser durant la suite du

dépôt du TaN. Une alternative est de pulvériser la TaN après son dépôt, avant ou pendant le dépôt du Ta.

84

3.2. EVALUATION DE PROCÉDÉS CUSIN

réduire l’épaisseur de TaN en fond via pour diminuer la résistance d’un via (Figure 3.35.a).

Dans le cas du CuSiN, le via pénètre profondément dans la ligne retirant par la même occa-

sion le CuSiN sous le via (Figure 3.34). Il en résulte des résistances de via aussi faibles que

celles de la référence SiCN avec Punch Through (Figure 3.35.a) et une stabilité vis-à-vis de

toute contrainte thermique (Figure 3.35.b).

Pour conclure, l’introduction de barrières auto-positionnées CuSiN en remplacement de

barrières diélectriques SiCN ne dégrade pas les courants de fuite. Pour la génération 90 nm,

la réduction de la capacité de couplage latérale par le retrait de la couche de SiCN (-5 %) est

compensée par l’augmentation de la résistance des lignes due à l’incorporation de silicium

dans le cuivre. Si cette augmentation de résistance reste constante pour les prochaines gé-

nérations technologiques, alors le gain sur le produit RC sera de plus en plus marqué pour

chaque nouveau nœud technologique15. La présence de CuSiN dans l’empilement du via dé-

grade la résistance des vias. L’intégration d’un procédé spécifique de pulvérisation du fond

des vias, appelé Punch Through, qui permet de retirer le CuSiN sous le via durant l’étape

de dépôt de la barrière TaN, est une solution nécessaire pour intégrer le CuSiN comme bar-

rière auto-positionnée sans détériorer les performances électriques des interconnexions. D’un

point de vue technologique, ce procédé Punch Through devient partie intégrante du schéma

d’intégration à partir du nœud 65 nm, ce qui rend les procédés CuSiN en tant que barrières

auto-positionnés compatibles avec le cette technologie sans modification ni ajout de procédés

spécifiques.

Ces résultats montrent une intégration réussie des barrières auto-positionnées CuSiN sans

dégradation majeure des performances électriques des interconnexions. Elles remplissent leur

rôle premier qui est de réduire la permittivité effective des interconnexions. Il s’agit désormais

de déterminer leur influence sur les performances de fiabilité.

3.2.3.2 Fiabilité

Le remplacement de barrières diélectriques par des barrières auto-positionnées CuSiN

modifie l’interface supérieure de cuivre ainsi que celle du masque dur SiO2. Pour déterminer

l’influence de la barrière auto-positionnée CuSiN sur la première interface, les tests d’élec-

tromigration sont les plus adéquates. Pour la seconde, il s’agit d’étudier les propriétés de la

nouvelle interface SiO2/SiOC et de déterminer les chemins de conduction critiques de diffu-

sion des porteurs de charges puis de mesurer la résistance du nouvel empilement diélectrique

sous test de rupture diélectrique à dépendance chronologique (TDDB).

15Le gain sur la capacité de couplage par le retrait de la barrière diélectrique augmente à chaque nouvelle

génération technologique (Figure 1.10, Page 17).

85

CHAPITRE 3. INTÉGRATION ET ÉVALUATION DE PROCÉDÉS CUSIN

Electromigration

Les tests d’électromigration ont été effectués sans mise en boîtier et avec les premières ver-

sions de matériaux de la technologie 90 nm : SiOC dense (εSiOC

r= 3, 1) et une barrière diélec-

trique SiC (εSiC

r= 4, 5). Les structures de test utilisées sont dites NIST16. Elles comprennent

des lignes de 250 µm de long, de [0, 26 ; 1, 2 ; 3] µm de large, sur un seul niveau de métal

(Figure 3.36). Après formation du CuSiN, des matériaux diélectriques (USG17/PSG18/SiN)

sont déposés afin de passiver l’ensemble de l’échantillon. Des plots sont ensuite gravés à tra-

vers cet ensemble afin de permettre la prise de contact (Figure 3.37). Le critère de défaillance

est fixé à une augmentation de la résistance des lignes de 10 % par rapport à la résistance

initiale et les températures de ligne testées sont 250, 300 et 350 C.

Pour les lignes étroites de 0, 26 et 1, 2 µm de large à 250 C, une augmentation de la

durée de vie des interconnexions d’un facteur 10 est obtenue lorsque la barrière diélectrique

SiC est remplacée par la barrière auto-positionnée CuSiN (Figure 3.38.a). Le procédé CuSiN

auto-positionné améliore donc la qualité d’adhésion à l’interface supérieure du cuivre. Par

contre, pour une largeur de ligne de 3 µm, quelle que soit la température de test, le gain n’est

Ligne testéeDétecteurs d'extrusionsAnodeCathode

I - V- V+ I+

Figure 3.36 : Schéma des structures de test d’électromigration dites NIST.

Figure 3.37 : Coupe schématique de l’empilement utilisé pour l’étude de l’électromigration.

16NIST : National Institute of Standards and Technology.17USG - Undoped Silicon Glass : Oxyde de silicium.18PSG - Phosphore doped Silicon Glass : Oxyde de silicium dopé phosphore.

86

3.2. EVALUATION DE PROCÉDÉS CUSIN

103 104 10512

10

305070

90

98

SiC CuSiNP

roba

bilité

cum

ulée

(%)

Durée de vie (sec)

x10

(a) Ligne de 0, 26 µm de large testée à 250 C.

104 10512

10

305070

90

98

Pro

babi

lité

cum

ulée

(%)

Durée de vie (sec)

SiC 250°C SiC 300°C CuSiN 25°C CuSiN 300°C

(b) Ligne de 3 µm de large testée à 250 et 300 C.

Figure 3.38 : Représentation lognormale de la durée de vie des interconnexions avec barrière

auto-positionnée CuSiN.

(a) Mise en évidence d’une cavité critique. (b) Mise en évidence d’extrusions.

Figure 3.39 : Observations MEB en vue de dessus de lignes de 1,2 µm de large avec CuSiN

après défaillance.

plus que d’un facteur 1, 3 (Figure 3.38.b). Ce résultat reflète une fois de plus la dépendance

des propriétés de siliciuration avec la microstructure du cuivre. Néanmoins, les résultats sur

lignes larges sont secondaires comparés à ceux sur lignes étroites, car dans un circuit intégré,

c’est à travers les lignes étroites que les densités de courant les plus fortes passent ; ces lignes

étroites doivent donc être les plus résistantes contre les phénomènes d’électromigration.

L’analyse de défaillance montre que (i) la nucléation des cavités s’initie à l’interface entre

le Cu et le Ta (Figure 3.39.a), (ii) que la cavité critique s’étend sur tout la largeur de la ligne

à l’interface Cu/SiOC (Figure 3.39.a) et (iii) qu’elle croît depuis cette interface sur toute

la hauteur de la ligne (Figure 3.40.a). La forme de la cavité critique est typique d’une dé-

87

CHAPITRE 3. INTÉGRATION ET ÉVALUATION DE PROCÉDÉS CUSIN

(a) Mise en évidence de cavités critiques.(b) Mise en évidence de défauts nouveaux non cri-

tiques.

Figure 3.40 : Coupes transversales MEB de lignes de 1,2 µm avec CuSiN après défaillance.

faillance obtenue avec une barrière diélectrique référence (Figure 3.40.a). Par contre, certains

échantillons possèdent de nouveaux défauts non critiques, absents avant les tests d’électro-

migration, impliquant la barrière TaN/Ta en fond de ligne (Figure 3.40.b). Du fait des plus

longues durées de tests, ces nouveaux défauts ont la possibilité d’apparaître. Par contre,

comme ces défauts n’ont jamais été relevés auparavant, même pour des tests d’électromigra-

tion très longs (cas du CoWP/B), ils sont peut-être spécifiques au procédé CuSiN lui-même,

mais leur origine reste à déterminer.

Durant les tests d’électromigration, la formation de cavités s’accompagne parfois d’ex-

trusion de matière (Figure 3.39.b), décelées par les détecteurs d’extrusion (Figure 3.36).

Contrairement à la référence SiC (38 %), la barrière auto-positionnée CuSiN ne présente

aucune extrusion pour les lignes de 0, 26 µm de large. Pour les lignes de 1, 2 µm de large,

seulement 44 % des échantillons CuSiN présentent des extrusions comparé à 85 % pour le

SiC. Une analyse EELS révèle la présence de Ta à la surface du cuivre siliciuré (Figure 3.41),

pouvant provenir de la barrière métallique latérale. Ce résultat montrerait alors que le si-

licium contenu dans le procédé CuSiN réagirait avec la surface de la barrière métallique

TaN/Ta [Lat02] pour limiter l’extrusion latérale de cuivre au dessus du Ta.

Un tel renforcement peut également supprimer une source d’injection de porteurs de

charges dans l’isolant. En ce qui concerne l’interface supérieure du SiO2, propice à leur

conduction du fait de la présence de défauts, elle diffère entre le cas du CuSiN (SiO2/SiOC)

et celui de la barrière diélectrique (SiO2/barrière diélectrique). Le retrait de la barrière diélec-

trique peut donc modifier les chemins de conduction ainsi que la résistance de l’empilement

contre la rupture à dépendance chronologique.

88

3.2. EVALUATION DE PROCÉDÉS CUSIN

0 10 20 30

0,0

4,0x105

8,0x105

1,2x106

1,6x106

-5,0x104

0,0

5,0x104

1,0x105

1,5x105

2,0x105Intens

ité (c

oups

)

Profondeur (nm)

Cu Si Ta

(a) Profils EELS du Ta, Si et du Cu.(b) Coupe transversale MET de la ligne analysée

par EELS.

Figure 3.41 : Composition de la surface d’une ligne avec pCuSiN après test.

Mécanismes de conduction et TDDB

Il existe quatres modèles de conduction, regroupés en deux catégories : ceux limités en

volume et ceux limités par les électrodes [Sze81] (Tableau 3.4) :

1. Mécanismes de conduction limités en volume :

– La conduction par l’effet Pool Frenkel est un mécanisme qui repose sur l’excitation

thermique d’un porteur piégé dans la bande interdite vers la bande de conduction de

l’isolant. L’application d’un champ électrique permet d’abaisser l’énergie d’ionisation

du piège favorisant ainsi ce type de transmission.

– La conduction par sauts repose sur le transport de charge qui s’effectue de piège à

piège dans la bande interdite du diélectrique sans passer par la bande de conduction.

2. Mécanismes de conduction limités par les électrodes :

– La conduction Schottky, autrement appelé effet thermo-ionique, s’observe lorsque les

porteurs de charge qui se trouvent dans l’électrode acquièrent une énergie supérieure

à la hauteur de barrière qui empêche leur injection dans le diélectrique. L’application

d’un champ électrique qui abaisse cette barrière autorise ainsi leur transition.

– La conduction par effet Fowler Nordheim correspond à l’effet tunnel, permettant aux

porteurs de charge de traverser la barrière d’énergie et d’être injectés dans la bande

de conduction du diélectrique.

Le Tableau 3.4 montre que chaque modèle de conduction peut conduire à une représen-

tation linéaire d’une fonction de la densité de courant par rapport à une fonction du champ

appliqué. Uniquement pour les modes Pool Frenkel et Schottky, la pente permet de remonter

à une permittivité relative du matériau (εpf

ret εsch

r) dans lequel la conduction se passe.

89

CHAPITRE 3. INTÉGRATION ET ÉVALUATION DE PROCÉDÉS CUSIN

Tableau 3.4 : Mécanismes de conduction des porteurs de charges dans un diélectrique.

Mécanisme de conduction limité par le volume du diélectrique

Conduction par Pool Frenkel par Sauts

Modèle J = q.µ.Nc. exp

(

−q.Φ

k.T

)

. exp

(

βpf .

E

k.T

)

J = C.E. exp

(

−q.Φa

k.T

)

Représentation ln

(

I

V

)

= Cte

1 .βpf

k.T.√

V + Cte

2 I = Cte.V

βpf

= q.√

q

π.ε0.εr

Mécanisme de conduction limité par les électrodes

Conduction par Schottky par Fowler Nordheim

Modèle J = A.T 2. exp

(

−q.Φ0

k.T

)

. exp

(

βsch.

E

k.T

)

J = D. exp

(

8.π.

2.m⋆.q.Φ3

0

3.h.E

)

Représentation ln (I) = Cte

1 .βsch

k.T.√

V + Cte

2 ln

(

I

V2

)

= −G.8.π

2.m⋆.q.Φ3

0

3.h.V

βsch

= q.√

q

4.π.ε0.εr

q et m⋆ : Charge et masse effective des porteurs ε0 : Permittivité du vide

εr : Constante diélectrique µ : Mobilité des porteurs

Φt : Hauteur de la barrière des pièges βpf

et βsch

: Constantes

Nc : Densité totale de pièges k : Constante de Boltzmann

E : Champ électrique appliqué J : Densité de courant

s : largeur du diélectrique entre les 2 métaux S : Section de ligne traversée par le courant

V = E × s : Tension appliquée I = J × S : Courant

T : Température A,C,D,G,Cte : Constantes

Φa : Énergie d’activation thermique Φ0 : Hauteur de barrière énergétique

90

3.2. EVALUATION DE PROCÉDÉS CUSIN

Le dispositif expérimental utilisé correspond à celui utilisé pour la mesure de courants

de fuite : peignes/serpentin de 70 mm de long, 140 nm de large et espacés de 140 nm,

avec une température de test de 150 C. Les mesures correspondent aux courants de fuite

I (proportionnels à la densité de courant de fuite J) en fonction de la tension appliquée

V (proportionnelle au champ appliqué entre les deux armatures E). Nous allons expliciter

le cheminement permettant de remonter aux mécanismes de conduction pour une barrière

SiCN (εSiCN

r= 5). Pour les barrières auto-positionnées CuSiN/SiH4 et CuSiN/TMS avec ou

sans plasma oxygène avant le dépôt du SiOC dense (εSiOC

r= 3, 1), le même protocole que

pour la barrière SiCN est suivi. Cependant, pour plus de clarté, les courbes expérimentales

sont reportées en Annexes Page 171.

Dans le cas de la référence SiCN, la représentation du courant de fuite en fonction de

la tension appliquée n’est pas linéaire, ce qui exclut un mode de conduction par sauts (Fi-

gure 3.42.a). La représentation graphique pour le cas Pool Frenkel présente deux parties

linéaires (Figure 3.42.b), signifiant que ce mode de conduction est possible pour deux plages

de tensions différentes. Le calcul de la permittivité relative εpf

rà partir de la pente de chaque

partie linéaire permet de déterminer le régime dans lequel s’exprime ce mode de conduction.

La valeur la plus proche de celle des matériaux présents dans l’empilement (εSiCN

r= 5,

εSiO2

r= 4, 2 et εSiOC

r= 3, 1) permet alors de conclure. Dans le cas de la barrière diélectrique

SiCN, la permittivité relative εpf

rà champ fort, i.e. à partir de V=9, 5 V, est de 4, 3 alors

que celui à champ faible est de 33. Le mode de conduction est donc de type Pool Frenkel à

champ fort, soit dans le volume du SiO2, soit dans le volume du SiCN, soit à leur interface,

lieu riche en défauts. Pour le mode de conduction de type Schottky (Figure 3.42.c), le calcul

de permittivité relative à partir de la pente des parties linéaires discrédite la conduction

Schottky à champ fort (εsch

r= 0, 6) car la permittivité relative la plus faible physiquement

est 1 pour le vide. C’est pourquoi à champ faible, i.e. jusqu’à 9, 5 V, la conduction de type

Schottky se fait par injection de porteurs dans le matériau SiOC, dont la permittivité relative

est la plus proche de celle calculée (εsch

r= 2, 8). Enfin, le claquage, dès 21 V, est précédé par

une conduction de type Fowler Nordheim à partir de 15 V (Figure 3.42.d).

Pour conclure, les mécanismes de conduction dans le cas de la barrière diélectrique de

référence SiCN (εSiCN

r= 5) sont :

– injection de porteurs de charge dans le SiOC par les électrodes ;

– dès 9, 5 V, conduction des porteurs de charge soit dans le SiCN, soit dans le SiO2 soit

à leur interface ;

– dès 15 V, accélération des porteurs de charge par effet tunnel ;

– dès 21 V, formation d’un chemin de conduction permanent.

Ces résultats sont confirmés par la littérature [Yia03], validant ainsi la méthode, les

structures de test et le protocole choisis.

Le Tableau 3.5 résume les moyennes de permittivités calculées ainsi que les tensions

remarquables : tension de claquage et tension séparant le domaine d’application des méca-

91

CHAPITRE 3. INTÉGRATION ET ÉVALUATION DE PROCÉDÉS CUSIN

0 5 10 15 20

2,0x10-9

4,0x10-9

6,0x10-9

8,0x10-9

1,0x10-8

Données expérimentales

Intens

ité (A

)

Tension (V)

(a) Conduction par sauts.

1 2 3 4

-25

-20

r=4,3

Champ fort

Ln(I/

V)

(Tension V)0,5 (V0,5)

Données expériementales

Champ faible

r=33

(b) Effet Pool Frenkel.

1 2 3 4

-25

-20

-15

r=2,8

r=0,6

Données expérimentales

Ln(I)

(Tension V)0,5(V0,5)

Champ faible

Champ fort

(c) Conduction Schottky.

0,1 0,2

-25

-20

-15Ln

(I/V

2 )

(Tension V)-1 V-1

Données expérimentales

(d) Conduction Fowler-Nordheim.

Figure 3.42 : Différentes représentations graphiques du courant de fuite I mesuré dans un

peigne de 140 nm de large (relié à la masse) en fonction de la tension appliquée V aux deux

extrémités d’un serpentin de 70 mm de long, de 140 nm de large espacé de 140 nm du peigne.

Ce dispositif est utilisé pour déterminer les modes de conduction. Cas de la référence SiCN.

nismes de conduction Schottky de celui des mécanismes Pool Frenkel. Le Tableau 3.5 montre

que les barrières auto-positionnées avec ou sans plasma oxygène ne modifient ni les chemins

ni les modes de conduction par rapport au SiCN. Avec un plasma oxygène, les tensions re-

marquables sont du même ordre de grandeur que celles de la référence SiCN alors que sans ce

plasma oxygène, elles sont considérablement améliorées. Ce dernier résultat montre (i) qu’à

champ faible, les barrières auto-positionnées permettent d’augmenter la hauteur de barrière

d’énergie nécessaire à l’injection de porteurs de charges dans le diélectrique : l’adhésion à

l’interface supérieure du cuivre est améliorée et (ii) qu’à champ fort, la quantité de pièges à

la surface du SiO2 nécessaire pour la conduction Pool Frenkel est réduite.

92

3.2. EVALUATION DE PROCÉDÉS CUSIN

Tableau 3.5 : Récapitulatif des permittivités relatives calculées à partir des parties linéaires

des représentations Pool Frenkel (εpf

r) et Schottky (εsch

r). Ces résultats proviennent de courbes

présentées en Annexe Page 171.

Pool Frenkel SchottkyLimite champ

faible/fort(V)

Tension declaquage (V)

Champ Faible Fort Faible Fort

SiCN 33 4, 3 2, 8 0, 6 15 21

CuSiN/TMS − 4, 1 3, 7 0, 9 25 51

CuSiN/SiH4 59 4, 4 4, 1 1, 1 21 55

CuSiN/TMS + O2 19 3, 7 3, 2 0, 6 13, 5 27

CuSiN/SiH4 + O2 30 4, 3 3, 5 0, 6 10 26

En terme de fiabilité, les tests de rupture chronologique des diélectriques (TDDB) sont

effectués à 150 C sur un support chauffant en appliquant une tension fixe au serpentin et en

mesurant le temps nécessaire au courant de fuite pour atteindre au moins |10−3| A (claquage

de l’empilement diélectrique).

Le critère de fiabilité correspond à une durée de vie de 10 ans sous test de TDDB à

100 C et à 0, 2 MV/cm. La référence SiCN et la barrière auto-positionnée CuSiN/TMS + O2

remplissent largement ce critère car elles l’atteignent pour une condition de température plus

agressive de 150 C (Figure 3.43). Sans plasma oxygène et en extrapolant les durées de vie à

0, 2 MV/cm et 150 C, un gain d’un facteur 400 par rapport à la référence SiCN est obtenu

pour les barrières auto-positionnées CuSiN/SiH4 et CuSiN/TMS (Figure 3.43), dépassant

largement le critère de fiabilité à 100 C.

Les gains sur la tension de claquage et sur le TDDB peuvent s’expliquer par une meilleure

qualité de surface du masque dur SiO2 grâce aux procédés CuSiN :

– les procédés CuSiN sont composés de deux plasmas NH3 contre un seul pour le SiCN

ce qui permet de retirer plus de défauts présents sur le SiO2 ;

– l’interface entre le SiO2 et le SiOC présente une meilleure qualité d’adhésion que celle

entre le SiO2 et le SiCN.

Pour conclure sur la fiabilité, l’introduction de barrières auto-positionnées CuSiN en

remplacement de barrières diélectriques améliore l’adhésion entre le Cu et SiOC ainsi que

celle entre le masque dur SiO2 et le SiOC. Ceci se traduit par une meilleure résistance

(i) contre l’électromigration avec gain d’un facteur 10 sous test sur lignes étroites et (ii)

contre la rupture chronologique de l’empilement diélectrique avec un gain d’un facteur 400

à 0, 2 MV/cm.

93

CHAPITRE 3. INTÉGRATION ET ÉVALUATION DE PROCÉDÉS CUSIN

0 1 2 3 4 5 610-1

102

105

108

10114450 ans @ 0,2 MV/cm & 150°C

CuSiN/SiH4 + O2

CuSiN/TMS + O2

SiCN CuSiN/SiH4

CuSiN/TMS

Durée

de

vie

(sec

)

Champ appliqué (MV/cm)0,2 MV/cm

Critère: 10 ans @ 0,2MV/cm et 100°C

Figure 3.43 : Durée de vie des interconnexions à champ fixe sous test TDDB à 150 C.

3.2.3.3 Conclusion

L’introduction de procédés CuSiN en tant que barrières auto-positionnées CuSiN en

remplacement de barrières diélectriques requiert l’utilisation d’un précurseur silane car le

TMS ne forme pas une barrière. De plus, le plasma habituellement introduit avant le dépôt

de SiOC dense, utilisé pour améliorer l’adhésion entre le SiCN et le SiOC, dégrade légèrement

les performances des interconnexions avec CuSiN d’un point de vue électrique et de fiabilité.

Il est donc nécessaire de le supprimer (il n’est plus utile en l’absence de barrière diélectrique).

Dans le cas de barrières auto-positionnées CuSiN avec silane et sans plasma oxygène, une

diminution de la permittivité effective des interconnexions est obtenu et un gain sur les per-

formances de propagation du signal dans les interconnexions est prévu pour les générations

technologiques avancées sub-65 nm. L’intégration de barrières auto-positionnées requiert la

gravure complète du CuSiN sous les vias notamment par l’intermédiaire du procédé de pul-

vérisation par accélération d’ions argon durant l’étape de métallisation (Punch Through)

qui devient une étape standard dès le 65 nm. Ceci signifie que les procédés CuSiN en tant

barrières auto-positionnées seront compatibles avec les générations technologiques avancées

sans nécessiter de développement de procédés spécifiques. De plus, le désalignement potentiel

des vias par rapport à leur ligne sous-jacente s’avère ne pas être critique pour le procédé

de gravure et les matériaux diélectriques utilisés. Enfin, en terme de fiabilité, un gain d’un

facteur 10 sur l’électromigration et d’au moins 400 sur la rupture diélectrique à dépendance

chronologique est observé.

Les barrières auto-positionnées CuSiN en remplacement de barrières diélectriques sont

94

3.3. CONCLUSION SUR LES PROCÉDÉS CUSIN

parfaitement compatibles avec les schémas d’intégration actuels et ceux des générations

avancés. De plus, ils remplissent parfaitement les objectifs liés à leur utilisation : ils réduisent

la permittivité effective des interconnexions et augmentent considérablement la durée de vie

des interconnexions.

3.3 Conclusion sur les procédés CuSiN

L’introduction des procédés CuSiN dans des générations technologiques avancées, comme

traitement avant le dépôt d’une barrière diélectrique ou comme barrière auto-positionnée, ne

requiert aucune modification du schéma d’intégration. Mis à part une légère augmentation de

la résistance des lignes, contrôlée par l’étape de siliciuration, les procédés CuSiN ne dégradent

pas les performances électriques des interconnexions. Dans le cas où la barrière diélectrique

est remplacée par une barrière auto-positionnée CuSiN, le gain sur la capacité de couplage

est de l’ordre de 5 %. Ce résultat, qui est en adéquation avec les résultats de simulations,

confirme l’intérêt de l’utilisation du CuSiN en tant que barrière auto-positionnée.

Les procédés CuSiN étudiés améliorent l’adhésion à l’interface supérieure du masque dur

SiO2, ce qui a pour conséquence d’augmenter la durée de vie des interconnexions d’un fac-

teur 400 sous test de rupture chronologique de l’empilement diélectrique. Par ailleurs, en

augmentant la proportion de grains de cuivre siliciurés, l’adhésion entre le cuivre et le ma-

tériau qui l’encapsule est améliorée. Dans notre cas, même si la siliciuration de la surface du

cuivre est partielle, un gain d’un facteur 10 sur la durée de vie des interconnexions sous test

d’électromigration est obtenu.

Bien que l’efficacité de la siliciuration dépende de paramètres qui lui sont propres (comme

la température de la surface de la plaque, la pression de la chambre de dépôt, la durée du

procédé, le type de précurseur à base de silicium, le débit des précurseurs. . .), elle dépend de

beaucoup de paramètres qui lui sont extérieurs, comme la microstructure de l’échantillon, la

structure cristalline du cuivre ou encore l’étape de nettoyage qui la précède dont le plasma

réducteur influence fortement l’aptitude d’un grain de cuivre à se siliciurer.

Les contraintes les plus importantes liées à la maîtrise de la siliciuration correspondent

au cas où le CuSiN est intégré en tant que barrière auto-positionnée : la quantité de silicium

doit être suffisante pour permettre le recouvrement complet de la surface du cuivre par du

CuSiN mais elle doit être limitée pour ne pas trop dégrader la résistance du cuivre.

Pour augmenter davantage le taux de recouvrement de la surface du cuivre par du CuSiN

(et améliorer ainsi davantage les performances de fiabilité) sans pour autant augmenter la

quantité de silicium à incorporer, il est donc indispensable de développer et d’optimiser les

conditions du plasma réducteur précédant la siliciuration.

95

Détermination de la compatibilité des

alliages ternaires de type CoWP/B avec

les matériaux et les procédés

d’intégration de la génération 65 nm,

proposition de solutions architecturales

et évaluation de la fiabilité des

interconnexions cuivre avec CoWP/B

97

Chapitre 4

Intégration et évaluation du CoWP/B

Sommaire

4.1 Évaluation des procédés CoWP/B . . . . . . . . . . . . . . . . . . . . . . . 100

4.1.1 Intérêts du CoWP/B . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100

4.1.1.1 Principe du dépôt chimique auto-catalytique en phase liquide . . . . 100

4.1.1.2 Propriétés des alliages déposés de façon auto-catalytique . . . . . . . 102

4.1.1.3 Réaction de dépôt . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104

4.1.1.4 Procédé de dépôt . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105

4.1.2 Evaluation de matériaux CoWP/B . . . . . . . . . . . . . . . . . . . . . . . . 106

4.1.2.1 Choix de l’épaisseur de CoWP/B . . . . . . . . . . . . . . . . . . . . 106

4.1.2.2 Stabilité et propriétés barrières du CoWP/B . . . . . . . . . . . . . 107

4.1.2.3 Caractérisation du CoWP/B après dépôt . . . . . . . . . . . . . . . 112

4.2 Intégration d’un empilement hybride CoWP/B+SiCN . . . . . . . . . . . 117

4.2.1 Mode d’intégration du CoWP/B . . . . . . . . . . . . . . . . . . . . . . . . . 117

4.2.2 Influence de l’introduction du CoWP/B . . . . . . . . . . . . . . . . . . . . . 119

4.2.2.1 Le dépôt de la barrière diélectrique . . . . . . . . . . . . . . . . . . . 119

4.2.2.2 Influence de la chimie de gravure du via . . . . . . . . . . . . . . . . 120

4.2.2.3 Le nettoyage post-gravure . . . . . . . . . . . . . . . . . . . . . . . . 125

4.2.2.4 Contribution à la résistance du via . . . . . . . . . . . . . . . . . . . 128

4.2.2.5 Bilan des solutions alternatives pour intégrer le CoWP/B . . . . . . 133

4.2.3 Performances électriques et de fiabilité . . . . . . . . . . . . . . . . . . . . . . 136

4.2.3.1 Caractérisation du CoWPB intégré . . . . . . . . . . . . . . . . . . . 136

4.2.3.2 Electromigration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 142

4.3 Conclusion sur les procédés CoWP/B . . . . . . . . . . . . . . . . . . . . . 147

99

CHAPITRE 4. INTÉGRATION ET ÉVALUATION DU COWP/B

4.1 Évaluation des procédés CoWP/B

4.1.1 Intérêts du CoWP/B

4.1.1.1 Principe du dépôt chimique auto-catalytique en phase liquide

Bien que les procédés auto-positionnés CuSiN soient efficaces pour améliorer les per-

formances de propagation du signal et de fiabilité des interconnexions sans dégrader leurs

performances électriques, le gain sur la fiabilité semble limité à un facteur 10 par rapport à

une barrière diélectrique. Ce gain relativement faible, mais toutefois suffisant pour répondre

aux besoins de la génération 45 nm, s’explique par le fait que l’efficacité du procédé CuSiN

dépend de paramètres extérieurs au procédé tels que la microstructure du cuivre et l’orien-

tation cristalline de ses grains. Comme alternative à ces procédés fondés sur la CVD d’un

précurseur silane, nous allons évaluer, dans ce chapitre, des procédés de dépôt de matériaux

en phase liquide pour former des barrières localisées uniquement sur les lignes de cuivre.

Divers métaux, comme le cuivre [Dub97], le cobalt [SD01], le nickel [Wir04] ou l’or

[Geo95], peuvent être formés par un dépôt chimique auto-catalytique en phase liquide, autre-

ment appelé dépôt electroless, sans avoir recours à l’apport de courant extérieur ; il s’oppose

par conséquent au dépôt électrolytique utilisé par exemple pour le remplissage de motifs par

du cuivre. Le principe du dépôt chimique en phase liquide est fondé sur la réduction d’un

ion métallique (Mn+) couplée à l’oxydation d’un réducteur (Red) puissant :

Mn++ n e− → M0 (4.1)

Red → Ox + m e− (4.2)

La réaction redox, illustrée par la Figure 4.1, s’écrit alors :

m Mn++ n Red → m M0

+ n Ox (4.3)

La spécificité de la réaction auto-catalytique vient du fait que les électrons utilisés pour la

réduction des ions métalliques Mn+ (Equation 4.1) proviennent de l’oxydation d’un réducteur

Red (Equation 4.2) contenu dans le bain de dépôt sur des surfaces catalytiquement actives.

La réduction du métal ne nécessite alors pas l’utilisation d’une anode sacrificielle comme dans

le cas d’une réaction de déplacement galvanique1. De plus, contrairement à une réaction de

cémentation2, elle n’est pas limitée en épaisseur. Le dépôt ainsi formé devient lui-même

1Réaction de déplacement galvanique : réaction faisant intervenir un métal dit de contact et un substrat

métallique. Le premier étant moins noble que le second, il joue le rôle d’anode sacrificielle. L’oxydation du

métal de l’anode fournit les électrons nécessaires pour la réduction des ions métalliques (à déposer) sur la

cathode.2Réaction de cémentation : le substrat étant un métal moins noble que celui à déposer, il joue alors le

rôle de réducteur. En se dissolvant, il fournit les électrons nécessaires à la réduction des ions métalliques.

100

4.1. ÉVALUATION DES PROCÉDÉS COWP/B

Figure 4.1 : Schéma en coupe d’un dépôt chimique en phase liquide sur une surface conduc-

trice selon l’Equation 4.3.

catalytiquement actif, ce qui permet d’entretenir la réaction de dépôt.

Pour que cette réaction ait lieu, il faut que le système oxydant/réducteur soit le plus stable

possible. Ceci signifie que la variation de l’énergie du système, qui correspond à l’enthalpie

libre de la réaction d’oxydo-réduction ∆G (Equation 4.3), doit être négative. Comme la

fonction de Gibbs relie l’enthalpie libre ∆G à la force électromotrice de la réaction d’oxydo-

réduction E par ∆G=-N.F.E avec N le nombre de moles et F la constante de Faraday3, E

doit être positif. Or,

E = EM

n+/M

0 − EOx/Red

(4.4)

Les potentiels de ces deux couples sont donnés par l’équation de Nernst :

EM

n+/M

0 = E0

Mn+

/M0 +

R.T

n.F. ln

[

Mn+]

(4.5)

EOx/Red

= E0

Ox/Red+

R.T

m.F. ln

[Ox]

[Red](4.6)

avec R la constante des gaz parfaits4, T la température de la réaction, et E0 le potentiel

standard. Cette condition sur E (EM

n+/M

0 > EOx/Red

) permet alors de définir les conditions

expérimentales du dépôt chimique auto-catalytique en phase liquide (température, concen-

trations).

En ce qui concerne l’initiation du dépôt, la réaction auto-catalytique ne peut démarrer

que sur une surface catalytiquement active comme les matériaux nobles (Au, Pt, Pd...) ou les

métaux de transition (Co, Cu...) [Mal90]. La fonction catalytique d’un matériau dépend di-

rectement de l’agent réducteur utilisé. Dans le cas de l’hypophosphite de sodium (NaH2PO2),

le Cu n’est pas catalytiquement actif. C’est pourquoi l’initiation du dépôt auto-catalytique

3F=9, 65219.10

4 C.mole−1.4R=8, 317 J.K−1.mole.

101

CHAPITRE 4. INTÉGRATION ET ÉVALUATION DU COWP/B

d’un matériau sur des lignes de cuivre nécessite au préalable le dépôt d’un catalyseur comme

le Pd. En effet, avec ce réducteur, il présente un caractère catalytique plus fort que ce-

lui du Co [Ohn85]. Le Cu, qui est moins noble que le Pd (E0Pd

2+/Pd

= 0, 951 V/ENH5 et

E0

Cu2+

/Cu= 0, 342 V/ENH [Lid03]), réduit le Pd et s’oxyde en donnant des ions Cu2+ selon

une réaction de cémentation. Comme le dépôt d’une couche continue de catalyseur sur le

cuivre peut conduire à des effets électriques ou magnétiques non désirés, le catalyseur Pd est

déposé sous forme d’îlots.

Néanmoins, le catalyseur peut diffuser dans le cuivre et augmenter sa résistivité [Ita02,

Hau04]. C’est pourquoi des chimies sans catalyseurs, dites auto-activées, sont proposées

en remplacement de l’hypophosphite de sodium comme le complexe de Di-Méthyl-Amino-

Borane (DMAB - (CH3)2NH : BH3) en présence duquel le Cu devient catalytiquement actif.

L’utilisation de DMAB comme réducteur permet donc l’initiation de la croissance auto-

catalytique d’un matériau directement sur le cuivre [Ita02].

4.1.1.2 Propriétés des alliages déposés de façon auto-catalytique

Barrière contre la diffusion

Le cobalt seul ne peut être formé par croissance auto-catalytique en phase liquide. Les

composants du réducteur se retrouvent en général dans l’alliage final (résidu de réaction),

comme l’alliage de CoP où le P provient du réducteur hypophosphite de sodium (NaH2PO2).

Ces alliages CoP ont été montrés efficaces pour empêcher la diffusion du cuivre sous une

contrainte thermique de 400 C durant 14 heures mais pour une épaisseur de 100 nm [Pau94,

OS98]. En considérant les dimensions des interconnexions des générations actuelles, une

telle épaisseur de matériau est trop importante pour être intégrée6 et il n’est pas possible de

réduire l’épaisseur de CoP sans détériorer ses propriétés barrières [Pau94]. D’autre part, un

alliage amorphe, comme le CoP après son dépôt, résiste mieux contre la diffusion du cuivre

qu’un alliage cristallin. Malheureusement, un recuit à 300 C, température largement dépassée

durant les différentes étapes d’intégration, est suffisant pour cristalliser l’alliage de CoP

[Koh03b]. Comme la diffusion du cuivre a lieu au niveau des joints de grains [Koh03b], pour

réduire l’épaisseur de matériau, il faut améliorer davantage son efficacité barrière en comblant

les joints de grains par des matériaux réfractaires [Koh03b] comme le Mo [SD02, Wir04], le Re

[Osa02] ou le W [Koh03a, Osa02]. A titre d’exemple, le Co0,9W0,02P0,08 présente un coefficient

de diffusion du cuivre à travers ses joints de grains 5 à 10 fois plus faible que celui du Co0,9P0,1

[Koh03b]. En terme d’intégration, les matériaux déposés de façon auto-catalytique les plus

adaptés sont donc des alliages ternaires.

5ENH - Electrode Normale à Hydrogène : valeur de potentiel par rapport à une électrode de référence

normale à hydrogène.6Par exemple, le dépôt d’un matériau conducteur épais sur les lignes de cuivre augmente leur hauteur

conduisant alors à la dégradation de la capacité de couplage latérale.

102

4.1. ÉVALUATION DES PROCÉDÉS COWP/B

Amélioration de la fiabilité

Lorsqu’un alliage à base de cobalt est introduit à la surface du cuivre avant le dépôt

d’une barrière diélectrique, le gain sur la durée de vie des interconnexions rapporté dans

la littérature varie d’un facteur 10 jusqu’à plus de 385. Cette dispersion des performances

obtenues s’explique par les différentes conditions de tests (test7 VxM

xou V

xM

x+1, densité de

courant, température, technologie considérée : dimensions des interconnexions et matériaux

utilisés) (Tableau 4.1). L’énergie d’activation (Ea) calculée pour le CoWP à partir de tests

d’électromigration est de l’ordre de 2 eV [Hu04a], ce qui signifie que le lieu des phénomènes

d’électromigration ne se situe ni aux interfaces cuivre / barrières métalliques ou diélectriques

(Ea=[0, 8 − 1, 06] eV [Arn03]), ni aux joints de grains (E

a=[0, 85 − 1, 2] eV [Bur70, Gup95,

Sur94]), mais dans le volume même des grains de cuivre (Ea=2, 15 eV [Phi85]). En effet,

comme :

– la structure bambou des lignes étroites ne présente pas de chemin de migration dans

la longueur des lignes autres que les interfaces entre le cuivre et ses barrières et

– les interfaces entre le cuivre et la barrière métallique TaN/Ta, qui présentent une bonne

adhésion, ne sont actuellement8 pas susceptibles d’être les lieux de l’électromigration,

le seul chemin de migration possible des atomes de cuivre sous un flux d’électrons se situe

dans le volume des grains de cuivre. Ceci montre que le CoWP scelle parfaitement la surface

du cuivre.

Tableau 4.1 : Exemples non exhaustifs de performances d’électromigration liées à l’intro-

duction d’alliages à base de cobalt sur le cuivre.

NEC Intel IBM AMAT TSMC

[Ish04] [Moo03] [Hu03] [Nai05] [Moo03]

Conditionsde test

300 C,2 MA/cm2

- 350 C,1, 8 MA/cm2

300 C,3 MA/cm2

-

Gain > 100 × 10 × 385 × > 20 × 10 ×

Largeur deligne (µm)

0, 2 0, 12 0, 18 0, 12 -

Référence SiN Si(C)N Si(C,H) SiCN -

7voir Figures 3.10 et 3.11.8L’introduction de techniques de métallisation avancées (ALD, PVD non conforme . . .) modifie l’adhésion

entre le cuivre et sa barrière métallique.

103

CHAPITRE 4. INTÉGRATION ET ÉVALUATION DU COWP/B

4.1.1.3 Réaction de dépôt [Pet02]

Le Tableau 4.2 est un exemple de la composition d’un bain permettant la croissance

auto-catalytique d’un alliage CoWP [Pet02]. La température, le pH et les concentrations

sont choisis pour être dans les conditions requises de potentiels mixtes : EM

n+/M

0 > EOx/Red

.

La formation d’un matériau auto-catalytique se divise en deux procédés, anodique et

cathodique. La réaction anodique correspond à l’oxydation des ions hypophosphites sur la

surface du catalyseur :

(H2PO−

2 )ads

+ (OH−)ads

→ H2PO−

3 + Hads

+ e− (4.7)

Les électrons et les atomes d’hydrogène adsorbés réagissent avec les ions hydrogène contenus

dans le bain :

Hads

+ e− + H+

ads→ H2 ↑ (4.8)

Pendant ce temps, les électrons libérés prennent part aux réactions cathodiques qui sont

(i) la réduction des ions cobalt, (ii) la réduction du phosphore et (iii) la co-déposition du

tungstène :

Co2++ (2H2PO−

2 )ads

+ OH−→ Co ↓ +H2PO−

3 + H2 (4.9)

(H2PO−)ads

+ e− → P ↓ +2OH− (4.10)

L’acide phosphorique de tungstène se dissocie en libérant des groupes WO2−4 :

H3[P (W3O10)4] + 27OH−→ PO3−

4 + 12WO2−

4 + 15H2O (4.11)

Comme le tungstène pur ne peut pas être déposé de façon électrochimique ni chimique, il

est co-déposé pendant l’oxydation de l’hypophosphite. La forme ionique WO2−4 se complexe

sous forme de citrate [WO2(C6H5O6)2]2− et s’adsorbe à la surface de l’électrode grâce au

Tableau 4.2 : Composition typique d’un bain de formation de CoWP par un procédé de

croissance auto-catalytique[Pet02].

Composant Concentration (mol/L)

CoSO4·7H2O 0, 082

Na3C5H5O7·H2O 0, 492

H3BO3 0, 502

H3[P(W3O10)4] 0, 0061

KOH pour ajuster le pH à 8, 8 − 8, 9

Température 90 ± 5 C

104

4.1. ÉVALUATION DES PROCÉDÉS COWP/B

cobalt qui va agir comme un réducteur du tungstène concomitamment à sa propre réduction.

Le complexe adsorbé se dissocie à la surface du catalyseur pour donner du WO2+2 qui va être

également réduit en tungstène :

WO2+

2 + 6H2PO−

2 + 4H2O → W ↓ +6H2PO−

3 + 3H2 + 2H+ (4.12)

4.1.1.4 Procédé de dépôt

Durant le procédé de croissance du matériau auto-catalytique, les échantillons sont soumis

à une rotation pour homogénéiser la croissance.

(a) Retrait de

l’oxyde natif de Cu.

(b) Réaction de cé-

mentation.

(c) Croissance de

CoWP.(d) Fin du procédé.

Figure 4.2 : Schéma de principe en coupe de la croissance auto-catalytique de CoWP avec

activation Pd.

Dans le cas de l’activation palladium, les étapes du procédé sont les suivantes :

– Nettoyage de la surface des échantillons et retrait de l’oxyde natif de cuivre grâce à

une solution de rinçage (Figure 4.2.a) suivi d’un séchage sous flux d’azote.

– Vaporisation de la solution contenant le catalyseur à la surface de l’échantillon (Fi-

gure 4.2.b) puis rinçage pour retirer l’excès de catalyseur n’ayant pas réagi.

– Initiation de la croissance de l’alliage CoWP sur les îlots de catalyseur puis croissance

auto-catalytique (Figure 4.2.c). L’épaisseur de CoWP est contrôlée par le temps.

– L’arrêt de la réaction (Figure 4.2.d) s’effectue grâce à un rinçage suivi d’un séchage.

Dans le cas d’une solution auto-activée (CoWB, CoWPB), l’étape de dépôt de Pd est sup-

primée.

Pour résumer, les intérêts (qu’il s’agira de vérifier) liés aux alliages ternaires à base de

cobalt déposés de façon auto-catalytique seraient :

– leurs propriétés de barrière contre la diffusion du cuivre ;

– une croissance localisée et sélective sur les ligne de cuivre indépendante de la micro-

structure du cuivre et de sa cristallinité ;

– leur meilleure adhésion avec le cuivre qu’une barrière diélectrique et l’amélioration des

performances de fiabilité.

105

CHAPITRE 4. INTÉGRATION ET ÉVALUATION DU COWP/B

Avant d’intégrer ces matériaux dans les interconnexions, il est nécessaire de vérifier les

propriétés barrières et la sélectivité des solutions commerciales en cours de développement

par des fournisseurs. Dans notre étude, plusieurs alliages à base de Co et de W ont été

évalués, le CoWP avec activation Pd, le CoWB et le CoWPB sans activation Pd. De façon

générale, nous nommerons CoWP/B tous ces types d’alliage et ne spécifierons que lorsque

les différences de comportements (ou de propriétés) s’avéreront significatives.

4.1.2 Evaluation de matériaux CoWP/B

4.1.2.1 Choix de l’épaisseur de CoWP/B

L’utilisation de barrières auto-positionnées permet de supprimer la contribution de la bar-

rière diélectrique à la capacité de couplage parasite latérale. Par contre, comme le CoWP/B

est un matériau conducteur, sa présence augmente la hauteur des lignes, et par conséquent

la capacité de couplage latérale. Le choix de l’épaisseur de CoWP/B correspond alors à un

compromis. Le CoWP/B doit être fin pour ne pas trop augmenter la capacité de couplage

latérale mais suffisamment épais pour empêcher la diffusion du cuivre. La Figure 4.3 montre

qu’une épaisseur de 45 nm de CoWP/B suffit pour compenser le gain sur le couplage ca-

pacitif latéral provenant du retrait d’une barrière diélectrique SiCN (εSiCN

r= 5) de 40 nm

pour le nœud 65 nm. Nous allons donc évaluer les propriétés barrières du CoWP/B pour des

épaisseurs inférieures ou égales à 20 nm afin de préserver un gain significatif sur la capacité

de couplage.

0 10 20 30 40 50-101234567

Gai

n su

r la

capa

cité

de

coup

lage

(%)

Epaisseur de barrière CoWP/B (nm)

Référence SiCN

Figure 4.3 : Gain sur la capacité de couplage par rapport à une barrière diélectrique SiCN

(εSiCN

r= 5) en fonction de l’épaisseur e

CoWP/Bde matériau CoWP/B : simulation effectuée

pour un nœud technologique 65 nm avec un matériau ULK SiOC de permittivité relative 2, 5,

une hauteur de ligne de 290 nm et un pitch de 200 nm.

106

4.1. ÉVALUATION DES PROCÉDÉS COWP/B

4.1.2.2 Stabilité et propriétés barrières du CoWP/B

Propriétés du CoWP/B

Nous allons déterminer l’effet du type d’activation sur la stabilité et l’efficacité barrière de

deux matériaux CoWP de 20 nm d’épaisseur, avec activation Pd ou auto-activé. Les dépôts

sont soumis à un recuit à 400 C durant deux heures sous atmosphère inerte.

Les Figures 4.4 et 4.5 présentent l’évolution des profils de Co, W, P, Pd, B et de Cu

suivis par SIMS à travers ces dépôts et dans une partie du cuivre. Les résultats montrent

que la différence d’activation entre les deux procédés CoWP étudiés provient bien de la

nature du réducteur. Un signal non négligeable de bore indiquant l’utilisation de DMAB

comme réducteur est observé seulement pour la couche de CoWP auto-activé (Figure 4.4).

Par contre, une grande quantité de Pd dans le cuivre, qui peut induire une forte dégradation

de la résistivité du cuivre (Figure 2.3, Page 28), est observée pour l’échantillon activé Pd.

Enfin, les profils de Co et de Cu sont indépendants du type d’activation.

0 500 1000 1500 2000100

101

102

103

104

105

106

107

CoWP sans Pd

CuCoWP

BPd

Cu

Inte

nsité

(cou

ps)

Temps d'érosion (sec)

CoCoWP avec Pd

Figure 4.4 : Profils SIMS (Cs+) du Co, Cu, Pd et B. Comparaison entre le CoWP activé

avec du Pd et le CoWP auto-activé après recuit 400 C durant 2 heures (épaisseur de l’alliage

de 20 nm).

Après recuit, les intensités du Co dans le Cu et de Cu dans le CoWP augmentent (Fi-

gure 4.5), ce qui reflète un phénomène d’interdiffusion du Cu et du CoWP. De ce fait, il

est possible de conclure que, pour les épaisseurs minces (20 nm) caractérisées, les couches

de CoWP auto-activé ou activé Pd ne sont ni stables sous une contrainte thermique ni des

barrières contre la diffusion du cuivre. Or, comme la présence de W aux joints de grains est

censée bloquer la diffusion du Cu dans le CoWP [Koh03b], ce résultat peut indiquer que la

quantité de W est insuffisante. Par contre, augmenter la concentration de W dans la solu-

tion revient (i) à détériorer la résistance du CoWP/B contre l’oxydation sous atmosphère

ambiante [Ein05] et (ii) à augmenter la résistance de l’alliage [Ein05]. Le choix de la quantité

107

CHAPITRE 4. INTÉGRATION ET ÉVALUATION DU COWP/B

0 500 1000 1500 2000101

102

103

104

105

106

107

Cu

Recuit 400°C 2h

P

W

Co

Inte

nsité

(cou

ps)

Temps d'érosion (sec)

Cu

Non recuit

CoWP

Figure 4.5 : Profils SIMS (Cs+) du Co, Cu, W, P. Test d’efficacité barrière de 20 nm de

matériau CoWP sans Pd utilisant un empilement Cu/CoWP recuit à 400 C durant 2 heures

dans une atmosphère inerte.

de W correspond alors un compromis : suffisamment élevée pour que le matériau CoWP/B

soit efficace pour empêcher la diffusion du cuivre, mais également suffisamment faible pour

limiter les phénomènes d’oxydation et de dégradation de la résistance.

Le plasma oxygène (1800 sccm d’oxygène à 750 W et 350 C durant 20 secondes) pré-

cédant le dépôt du SiOC dense (εSiOC

r= 3, 1) appliqué directement sur le CoWP conduit

à la formation d’un CoOx

à l’interface avec le diélectrique (Figures 4.6.a et b). Ce résultat

montre clairement que le matériau CoWP étudié n’est pas résistant contre l’oxydation, ce

qui constitue un problème majeur pour son intégration dans les interconnexions. En effet,

de nombreux procédés présentent des atmosphères oxydantes (atmosphère ambiante entre

la chambre de dépôt du CoWP et celle de l’étape suivante, présence d’un plasma contenant

de l’oxygène dans la chimie de dépôt du matériau à faible permitivité, chimie de nettoyage

après gravure...).

Pour résumer, l’intégration des matériaux CoWP/B étudiés en tant que barrière auto-

positionnée seule est compromise car la chimie et/ou l’épaisseur utilisées ne permettent pas

de former un matériau efficace contre la diffusion du cuivre ni résistant contre l’oxydation.

Pour intégrer ces matériaux, il est donc nécessaire :

– soit d’optimiser les procédés pour obtenir les propriétés barrières désirées ;

– soit de protéger la surface du CoWP/B, c’est-à-dire :

– en déposant au dessus de l’alliage une barrière diélectrique ou

– en appliquant un traitement de surface.

108

4.1. ÉVALUATION DES PROCÉDÉS COWP/B

3000 4000 5000100

101

102

103

104

105

106

107

SiOC SiCNIn

tens

ité (c

oups

)

Temps d'érosion (sec)

Si O

Cu

(a) Profils SIMS (Cs+) du silicium et de l’oxygène

pour la référence 40 nm de SiCN. Empilement :

Cu/SiCN/SiOC

3000 4000 5000100

101

102

103

104

105

106

107

CuCoOx

Inte

nsité

(cou

ps)

Temps d'érosion (sec)

Si Co O

SiOC

(b) Profils SIMS (Cs+) du silicium, du cobalt et de

l’oxygène pour une épaisseur de 20 nm de CoWP.

Empilement : Cu/CoWP/SiOC

Figure 4.6 : Influence du plasma oxygène avant dépôt SiOC (1800 sccm d’oxygène à 750 W

et 350 C durant 20 secondes) sur le matériau CoWP déterminée par SIMS.

Protection du CoWP/B

La première solution pour préserver l’intégrité du CoWP/B durant son intégration consiste

à déposer une barrière diélectrique sur le CoWP/B. Bien que ce mode d’intégration, appelé

hybride (CoWP/B + barrière diélectrique), ne permette pas d’améliorer les performances de

propagation du signal (à moins d’utiliser des barrières diélectriques avancées de faible per-

mittivité ou des barrières plus fines), l’adhésion entre le cuivre et la barrière diélectrique est

améliorée [Hu04a] sans modifier le schéma d’intégration. Dans notre cas, lorsqu’une épais-

seur de 20 nm de SiCN (εSiCN

r= 5) est déposée sur l’alliage avant l’application du plasma

oxygène du dépôt SiOC, le signal d’oxygène suivi par SIMS présente deux légers pics aux

interfaces supérieure et inférieure du SiCN (Figure 4.7) identiques à ceux observés pour la

référence SiCN (Figure 4.6.a). Ce résultat signifie qu’une épaisseur de 20 nm de SiCN est

suffisante pour protéger le CoWP/B sous-jacent de l’oxydation.

La seconde solution correspond à un traitement de la surface du matériau CoWP/B pour

la modifier et la rendre ainsi résistante contre l’oxydation et empêcher la diffusion du cuivre.

Si ce traitement est sélectif sur CoWP/B alors il est possible d’introduire cette approche dans

les interconnexions pour améliorer la fiabilité et pour remplacer la barrière diélectrique9. Les

procédés CuSiN étudiés dans les précédents chapitres seraient donc des candidats idéals

pour ce type de traitement. En effet, nous avons montré que les traitements de siliciuration

par CVD de SiH4 et de nitruration par PECVD de NH3 étaient sélectifs et formaient des

barrières efficaces et localisées sur les lignes de cuivre. Il s’agit donc de déterminer l’effet de la

9Supprimer la barrière diélectrique permet de réduire la permittivité effective des interconnexions, de

former des cavités entre les lignes -air gaps- (Figure 3.13) ou de réduire le nombre de couches diélectriques

traversées par la lumière dans les applications capteurs d’images CMOS (Figure 3.12).

109

CHAPITRE 4. INTÉGRATION ET ÉVALUATION DU COWP/B

1500 2000 2500100

101

102

103

104

105

106

107

CuCoWPSiCN

Inte

nsité

(cou

ps)

Temps d'érosion (sec)

Si Co O

SiOC

Figure 4.7 : Profils SIMS (Cs+) de Si, d’O et de Co pour un CoWP/B de 20 nm protégé

par 20 nm de SiCN. Empilement : Cu/CoWP/SiCN/SiOC avec un plasma oxygène sur le

SiCN avant le dépôt SiOC (1800 sccm d’oxygène à 750 W et 350 C durant 20 secondes).

siliciuration et de la nitruration sur un matériau CoWP/B. Pour cela, la surface d’une couche

de cobalt déposée par PVD a été soumise à un plasma réducteur10 destiné à retirer l’oxyde

de Co et aux traitements de siliciuration11 puis de nitruration12. Les profils AES et SIMS

montrent que la surface du cobalt est alors siliciurée [Nod04], nitrurée et recouverte d’une

fine couche de SiN (Figures 4.8.a et b) auto-positionnée efficace pour empêcher la diffusion

du cuivre (Chapitre 2). La siliciuration et la nitruration du Co modifient donc efficacement

la surface du Co, la transformant en une bi-couche CoSiN/SiN.

De plus, lorsqu’un plasma oxygène13 est appliqué à la surface du CoSiN/SiN, le profil

SIMS de l’oxygène à l’interface Co/SiOC reste inchangé et exempt de pic (Figure 4.8.b).

Le traitement de surface utilisé remplit donc bien les critères de barrières auto-positionnées

contre la diffusion du cuivre et de protection de la surface du Co contre l’oxydation. Néan-

moins, comme pour toute barrière auto-positionnée, l’architecture d’intégration doit tenir

compte de l’absence de couche de contrôle de l’arrêt de la gravure, rôle joué normalement

par les barrières diélectriques standards déposées par PECVD.

Afin d’évaluer la compatibilité du CoWP/B avec les procédés et les schémas d’intégration

classiques et pour pouvoir proposer des alternatives architecturales pour son intégration, il

est plus judicieux, mais également plus simple, d’utiliser dans un premier temps l’approche

hybride.

10Plasma d’une puissance de 325 W contenant 145 sccm de NH3 durant 60 secondes.11CVD de 40 sccm de SiH4 dilués dans 4000 sccm de N2 durant 60 secondes.12Plasma d’une puissance de 500 W contenant 145 sccm de NH3 durant 120 secondes.13Plasma oxygène correspondant à l’étape précédent le dépôt de SiOC dense (εSiOC

r= 3, 1).

110

4.1. ÉVALUATION DES PROCÉDÉS COWP/B

0 50 100

0

20

40

60

80

100C

ompo

sitio

n re

lativ

e (%

)

Temps d'érosion (sec)

O : sans traitement Co : sans traitement N : pCuSiN O : pCuSiN Co : pCuSiN Si : pCuSiN

(a) Profils AES (couplé à une technique d’abrasion) de cobalt, d’oxygène, de silicium et

d’azote pour un échantillon Co sans traitement et pour un échantillon Co traité par un

procédé CuSiN.

500 1000 1500 2000 2500 3000100

101

102

103

104

105

106

Inte

nsité

(cou

ps)

Temps d'érosion (sec)

N : Co + O2

O : Co + O2

Co : Co + O2

N : Co + pCuSiN O : Co + pCuSiN Co : Co + pCuSiN

N : Co + pCuSiN+O2

O : Co + pCuSiN+O2

Co : Co + pCuSiN+O2

SiOC Co

(b) Profils SIMS (Cs+) d’azote, d’oxygène et de cobalt pour un empilement Co/SiOC

dont la surface du Co est traitée soit par un plasma O2 (Co + O2), soit un procédé

CuSiN (Co + pCuSiN) soit par un procédé CuSiN suivi un plasma O2 (Co + pCuSiN

+ O2).

Figure 4.8 : Formation d’un nitrure de silicium à la surface du cobalt par un procédé CuSiN

et détermination des propriétés de barrière contre l’oxydation.

111

CHAPITRE 4. INTÉGRATION ET ÉVALUATION DU COWP/B

4.1.2.3 Caractérisation du CoWP/B après dépôt

Morphologie

Des observations MEB en vue de dessus de la surface d’échantillons polis sur lesquels

une épaisseur de 5 nm de CoWPB auto-activé a été déposée14 révèlent la microstructure

du cuivre sous-jacent (Figure 4.9.a). L’épaisseur de CoWPB dépend donc de la structure

cristalline du cuivre sur lequel il croît. Par contre, les cartographies AES du Co, P et de Cu

de la zone observée par MEB ne reflètent pas la microstructure du cuivre (Figures 4.9.b, c

(a) Observation MEB en vue de dessus. (b) Cartographie AES du Co.

(c) Cartographie AES du P. (d) Cartographie AES du Cu.

Figure 4.9 : Cliché MEB et cartographies AES associées d’un échantillon de 5 nm de

CoWPB auto-activé après dépôt.

14Des observations sur des échantillons de 20 nm de CoWPB présentent la même dépendance de hauteur

de CoWPB en fonction des grains de cuivre.

112

4.1. ÉVALUATION DES PROCÉDÉS COWP/B

et d). La composition du CoWPB est homogène et indépendante de la structure cristalline

du Cu.

Après un recuit à 400 C durant 2 heures sous une atmosphère inerte, des éléments très

clairs apparaissent à la surface des échantillons de 5 (Figure 4.10.a) et 20 nm de CoWPB.

Les cartographies AES associées montrent que ces défauts sont exempts de Co et de P

(Figures 4.10.b et c) et présentent une forte teneur en cuivre (Figure 4.10.d). Il est toutefois

difficile de statuer sur la nature de ces défauts : (i) protrusion de cuivre formée durant

le recuit (appelée hillock) traversant la couche de CoWPB ou (ii) déplétion de CoWPB

formant un trou. L’intégration du CoWPB auto-activé dans un empilement hybride devrait

empêcher la formation de ces défauts. La cartographie AES du phosphore révèle également

(a) Observation MEB en vue de dessus. (b) Cartographie AES du Co.

(c) Cartographie AES du P. (d) Cartographie AES du Cu.

Figure 4.10 : Cliché MEB et cartographies AES associées d’un échantillon de 5 nm

de CoWPB auto-activé après recuit de 400 ˚C durant 2 heures dans une atmosphère

inerte.

113

CHAPITRE 4. INTÉGRATION ET ÉVALUATION DU COWP/B

la microstructure du cuivre sous-jacent, mais celle-ci n’est visible que pour une épaisseur

de 5 nm de matériau (Figure 4.10.c). Ce phénomène met en évidence la formation d’une

phase cristalline, pouvant correspondre à du Co2P dans un système orthorhombique formé

dès 400 C [Koh03a], qui utilise la structure du cuivre sous-jacent comme modèle.

Les observations MEB de lignes en coupe montrent que la croissance 3D du CoWP/B

[Pau94] se traduit par un dépôt homogène et conforme, le CoWP/B épousant tout défaut à

la surface du cuivre (Figure 4.11). La rugosité du CoWP (σCoWP

) mesurée par microscopie à

force atomique (AFM - Atomic Force Microscopy) est de l’ordre de 1, 7 nm (σSiO2

= 0, 7 nm),

(a) Coupe longitudinale. (b) Coupe transversale.

Figure 4.11 : Images MEB d’un réseau de lignes de 120 nm de large espacées de 120 nm

recouvertes par 20 nm de CoWP (procédé avec activation Pd).

(a) Vue 3D de dessus. (b) Vue 3D inclinée.

Figure 4.12 : Cartographie AFM d’un échantillon de 500 µm×500µm représentant un ré-

seau de lignes de 120 nm de large espacées de 120 nm recouvertes par 20 nm de CoWP

(procédé avec activation Pd) : 0 nm correspond à la surface du masque dur diélectrique SiO2.

114

4.1. ÉVALUATION DES PROCÉDÉS COWP/B

ce qui est négligeable pour une épaisseur moyenne de CoWP déposée de 22 nm (Figure 4.12).

Par contre, la cartographie AFM, qui montre la présence de particules sur la surface du SiO2,

indique que l’initiation de la croissance du CoWP avec activation Pd peut également avoir

lieu sur le diélectrique. Cette dernière observation remet en question la sélectivité du procédé,

ou tout du moins pose la problématique de l’état de surface du diélectrique (composants

résiduels métalliques. . .) après l’étape de polissage mécano-chimique.

Sélectivité

Le procédé de croissance auto-catalytique (avec ou sans activation) en phase liquide est

par définition sélectif uniquement sur le cuivre. Nous allons toutefois vérifier si la croissance

du CoWP avec activation Pd ne s’initie pas sur des matériaux diélectriques :

– à travers des analyses par fluorescence des rayons X (XRF - X-Ray Fluorescence)

d’échantillons sans motifs de SiOx

et de SiOCH poreux après procédé CoWP et

– grâce à des observations MEB en vue de dessus de réseaux de lignes après dépôt.

Les analyses par fluorescence des rayons X (XRF) ne montrent aucune contamination en Co,

W ou P (seuil de détection de 2.1014 at.cm2), ce qui confirme que l’initiation du CoWP ne

s’effectue pas sur les diélectriques étudiés.

Par contre, sur les échantillons avec motifs, en faisant varier la chimie et le feutre de

polissage de l’étape de CMP, on observe des densités variables de particules de CoWP sur

le SiO2 (Figure 4.13). La perte de sélectivité peut s’interpréter ainsi : avant d’atteindre le

masque dur SiO2, l’étape de CMP abrase le Cu, le Ta, le TaN et le masque dur TiN pouvant

laisser des résidus métalliques à la surface du SiO2. Ces particules peuvent ensuite réduire

le Pd puis initier le dépôt du CoWP/B sur le diélectrique si le premier rinçage du dépôt

n’est pas suffisant pour les retirer. Ces résultats montrent ainsi l’influence de l’étape de CMP

sur la qualité de la surface du SiO2 (quantités de résidus) et donc sur la sélectivité de la

croissance de CoWP.

(a) Chimie 1 + Feutre 1. (b) Chimie 1 + Feutre 2. (c) Chimie 2 + Feutre 2.

Figure 4.13 : Observations MEB en vue de dessus de réseaux de lignes de pitch 240 nm

avec un dépôt de 20 nm de CoWP avec activation Pd.

115

CHAPITRE 4. INTÉGRATION ET ÉVALUATION DU COWP/B

(a) CoWP avec Pd. (b) CoWP avec Pd. (c) CoWP avec Pd. (d) CoWP avec Pd.

(e) CoWB auto-activée. (f) CoWP/B optimisé. (g) CoWP/B optimisé.

Figure 4.14 : Observations MEB en vue de dessus de divers motifs après un dépôt de

20 nm de CoWP/B.

La Figure 4.14 illustre différents défauts de sélectivité de croissance de CoWP/B observés

après dépôt : des particules/nodules dans le cas du CoWP avec activation Pd (Figure 4.14.a

à d) et des dendrites dans le cas de la chimie CoWB auto-activée (Figure 4.14.e). Tous ces

défauts, qui peuvent conduire au pontage entre deux lignes adjacentes et les court-circuiter

(Figure 4.14.a et e), disparaissent après optimisation des procédés (température, vitesse de

rotation. . .) et des chimies (de nettoyage et de dépôt) de CoWP/B en fonction du type de

CMP utilisé (Figures 4.14.e et f).

La présence de ces particules doit nécessairement se répercuter sur les courants de fuite.

Néanmoins, si la mesure était effectuée directement après la formation du CoWP/B, elle

tiendrait compte de l’oxydation du CoWP/B et de la reprise d’humidité (Figure 3.2, Page 53).

C’est pourquoi, la mesure des courants de fuite s’effectuera après intégration du niveau

supérieur.

En conclusion, pour les épaisseurs relativement fines et les chimies de CoWP/B étudiées,

le matériau déposé de façon auto-catalytique et sélectivement sur le cuivre ne se montre

ni efficace contre la diffusion du cuivre ni résistant contre l’oxydation. Il doit donc être

intégré dans un empilement hybride (CoWP/B + barrière diélectrique). Il s’agit dans un

premier temps de déterminer les épaisseurs de CoWP/B et leur mode d’intégration, puis,

leur influence sur les performances électriques des interconnexions. Ensuite, il faudra évaluer

la compatibilité de ces dépôts avec les matériaux et les procédés d’intégration standard et

116

4.2. INTÉGRATION D’UN EMPILEMENT HYBRIDE COWP/B+SICN

enfin, proposer des solutions d’intégration pour pouvoir quantifier l’effet de leur intégration

sur la durée de vie des interconnexions.

4.2 Intégration d’un empilement hybride CoWP/B+SiCN

4.2.1 Mode d’intégration du CoWP/B

Nous avons montré précédemment que l’introduction d’une couche de CoWP/B sur les

lignes de cuivre augmente la capacité de couplage latérale. Pour minimiser cet effet, il est

possible de retirer au préalable une épaisseur de Cu inférieure ou égale à celle de CoWP/B

à déposer (Figure 4.15). Par contre, le réduction de la section de la ligne de cuivre conduit

nécessairement à une augmentation de la résistance. De plus, le retrait partiel de cuivre, qui

est alors une étape supplémentaire, n’est pas un procédé facile à contrôler car il est fondé

sur une attaque chimique du cuivre, i.e. dépendant de la microstructure du cuivre : attaque

du Cu préférentiellement au niveau de ses joints de grains et le long de l’interface Cu/Ta.

Figure 4.15 : Schéma d’intégration du CoWP/B avec retrait partiel de Cu.

Il est donc nécessaire de quantifier la dégradation de la capacité de couplage due au

CoWP/B et celle de la résistance due au retrait partiel de cuivre avant d’appliquer ces

solutions. Les simulations effectuées pour un nœud technologique 65 nm montrent que l’in-

troduction de 20 nm de CoWP/B :

– dans le cas sans retrait de Cu : augmente la capacité de couplage d’environ 4 % (Fi-

gure 4.16.a) mais ne dégrade pas la résistance (Figure 4.16.b) ;

– dans le cas où l’épaisseur de cuivre retiré est égale à celle de CoWP/B à déposer

(Figure 4.15) : ne dégrade pas la capacité de couplage (Figure 4.16.a) mais augmente

la résistance de 8 % (Figure 4.16.b).

La dégradation de la capacité de couplage pour la première approche est donc moins

importante que celle de la résistance pour la seconde. Pour minimiser toute dégradation des

performances de propagation du signal et pour préserver un gain sur le temps de retard à

la propagation par rapport au cas référence avec une barrière SiCN (Figure 4.16.d), il est

plus judicieux (et plus facile à mettre en œuvre) d’introduire le CoWP/B directement sur

les lignes de cuivre sans réduire au préalable leur section.

117

CHAPITRE 4. INTÉGRATION ET ÉVALUATION DU COWP/B

5 10 15 20 25 30

78

80

82 Sans retrait partiel de Cu Avec retrait partiel de Cu

Cap

acité

de

coup

lage

la

téra

le (f

F/m

m)

Epaisseur de CoWP/B (nm)

(a) Variation de la résistance.

5 10 15 20 25 30940

960

980

1000

1020

1040

1060 Sans retrait partiel de Cu Avec retrait partiel de Cu

Rés

ista

nce

(/m

m)

Epaisseur de CoWP/B (nm)

(b) Variation de la capacité de couplage latérale.

5 10 15 20 25 30

-4

-2

0

2

4

6

145

150

155

160

165

Sans retrait de Cu Avec retrait de Cu

Pro

duit RxC

(ps/

mm

²)

Gai

n su

r le

tem

ps d

e re

tard

(%)

Epaisseur de CoWP/B (nm)

(c) Variation du produit entre la résistance et la ca-

pacité de couplage et du temps de retard par rapport

au cas référence avec une barrière SiCN de 40 nm et

εr = 5.

(d) Paramètres de la simulation.

Figure 4.16 : Evolution de paramètres électriques linéiques en fonction de l’épaisseur de

CoWP/B de résistivité 75 µΩ.cm⋆, soit déposé directement après CMP soit après retrait

partiel d’une hauteur de cuivre égale à l’épaisseur de CoWP/B à déposer. ⋆La résistivité du

CoWP/B a peu d’influence sur la résistance comparée à l’effet du retrait de Cu : sans retrait

partiel, 20 nm de CoWP/B de résistivité 10 µΩ.cm a une résistance linéique de 929 Ω/mm

et avec 100 µΩ.cm, 949 Ω/mm.

118

4.2. INTÉGRATION D’UN EMPILEMENT HYBRIDE COWP/B+SICN

4.2.2 Influence de l’introduction du CoWP/B

Une fois déposé sur le cuivre, plusieurs étapes d’intégration peuvent interagir directement

avec le CoWP/B (que nous détaillerons par la suite) :

– l’attente entre deux étapes lorsque le CoWP/B est en contact avec l’atmosphère am-

biante (air-break) ;

– le dépôt de la barrière diélectrique (Figure 4.17.a) ;

– la gravure du diélectrique débouchant sur le CoWP/B (Figure 4.17.b) ;

– la chimie de nettoyage (acide dilué) post-gravure servant à retirer les résidus de poly-

mère et métalliques (Figure 4.17.c) ;

– le dépôt de la barrière métallique (Figure 4.17.d).

(a) Dépôt de la barrière

diélectrique.

(b) Gravure du diélec-

trique.

(c) Nettoyage post-

gravure.

(d) Dépôt de la barrière

métallique.

Figure 4.17 : Représentation des étapes pouvant interagir directement avec le CoWP/B.

L’évaluation de matériaux CoWP/B portera sur le CoWPB auto-activé (sans catalyseur

Pd) dans une technologie 65 nm avec une barrière diélectrique SiCN (εSiCN

r= 5) et un

matériau à faible permittivité poreux SiOCH (εSiOCH

r= 2, 5).

4.2.2.1 Le dépôt de la barrière diélectrique

Avant le dépôt d’une barrière diélectrique, le CoWPB s’oxyde aisément car il est en

contact avec l’atmosphère ambiante. Il en va de même pour un Co déposé par PVD (Fi-

gures 4.18.a et b). Par contre, lorsque la surface du Co est soumise au plasma15 NH3 précé-

dant le dépôt de la barrière diélectrique, le pic d’oxygène observé par AES à la surface du Co

disparaît (Figure 4.18.c). Ce résultat montre que le plasma NH3 utilisé pour retirer l’oxyde

de cuivre avant le dépôt d’une barrière diélectrique est efficace pour retirer l’oxyde de Co et

donc l’oxyde de CoWP/B [Kaw06]. Il s’agit désormais d’optimiser les conditions du plasma

NH3 pour l’adapter spécifiquement aux alliages étudiés.

15Conditions du plasma NH3 : 145 sccm de NH3 à 400 C durant 60 sec sous 325 W.

119

CHAPITRE 4. INTÉGRATION ET ÉVALUATION DU COWP/B

(a) Signal AES de l’oxygène selon le temps d’abra-

sion (Ar+) de la surface d’un Co oxydé.

(b) Signal AES du cuivre selon le temps d’abrasion

(Ar+) de la surface d’un Co oxydé.

1000 2000 3000101

102

103

104

105

106

Inte

nsité

(cou

ps)

Temps d'érosion (sec)

O sans NH3

Co sans NH3

O après NH3

Co après NH3

Pic d'oxygène

(c) Profils SIMS (Cs+) de l’oxygène et du cobalt.

Stockage sous atmosphère ambiante durant 6 mois

Plasma NH3

SiCoSi2

CoCoOx

SiOC

(d) Empilements utilisés.

Figure 4.18 : Détermination de l’efficacité d’un plasma NH3 pour retirer l’oxyde natif de

Co à la surface du matériau.

4.2.2.2 Influence de la chimie de gravure du via

Nous allons déterminer l’influence de la chimie de gravure conventionnelle16 (plasmas)

en faisant varier la durée du contact entre cette chimie et le matériau CoWPB. Pour cela,

deux approches de gravure dites Trench First Hard Mask (TFHM : gravure en premier lieu

de l’empreinte de la ligne dans le masque dur TiN) sont utilisées :

1. Avec gravure complète du via [Fox05] (Figure 4.19) : cette approche consiste à gra-

ver complètement le via jusqu’au SiCN (d’épaisseur conventionnelle de 40 nm) qui

contrôle l’arrêt de la gravure du via (Figure 4.19.a) suivie de la gravure de la ligne (Fi-

16Chimie de gravure du masque dur TiN à base de Cl2/BCl3, du via C4F6/N2/Ar, de la ligne

CF4/C4F8/N2/Ar et ouverture SiCN CF4/Ar/CH3F/N2 [Pos06].

120

4.2. INTÉGRATION D’UN EMPILEMENT HYBRIDE COWP/B+SICN

gure 4.19.b). L’arrêt de la gravure ligne est contrôlé par le temps (durée fixe). Enfin,

l’ouverture SiCN permet au via de déboucher sur le CoWP/B (Figure 4.19.c).

2. Avec gravure partielle du via (Figure 4.20) : cette approche est fondée sur une gravure

partielle du via (Figure 4.20.a) qui s’arrête dans le matériau à faible permittivité (du-

rée fixe). Ensuite, la gravure simultanée du via et de la ligne s’arrête grâce au SiCN

(d’épaisseur conventionnelle de 40 nm) en le gravant partiellement (Figure 4.20.b).

Enfin, l’ouverture SiCN fait déboucher le via sur le CoWP/B (Figure 4.20.c).

(a) Gravure complète du

via.(b) Gravure de la ligne. (c) Ouverture SiCN.

Figure 4.19 : Schéma d’intégration TFHM avec gravure complète du via.

(a) Gravure partielle du

via.(b) Gravure via et ligne. (c) Ouverture SiCN.

Figure 4.20 : Schéma d’intégration TFHM avec gravure partielle du via.

L’épaisseur de SiCN consommée avant l’ouverture SiCN dépend donc de l’approche uti-

lisée. Dans la première approche, la gravure du SiCN commence dès la première étape de

gravure du via (Figure 4.19.a) alors que pour la seconde approche, le SiCN est gravé pour la

première fois à la fin de la gravure simultanée du via et de la ligne (Figure 4.20.b). De ce fait,

pour une épaisseur de SiCN plus fine (par exemple 5 nm) que dans un cas standard (40 nm),

le CoWP/B est en contact avec la chimie de gravure plus tôt pour la première approche, i.e.

dès la fin de la gravure complète du via (voire durant la gravure ligne). Par contre, dans la

seconde approche, la mise en contact du CoWP/B avec la chimie de gravure n’a lieu qu’à

la fin de la gravure simultanée du via et de la ligne et plus probablement durant l’ouverture

SiCN, ce qui réduit considérablement la durée de du contact.

121

CHAPITRE 4. INTÉGRATION ET ÉVALUATION DU COWP/B

(a) Cas d’un empilement comprenant 20 nm de

CoWPB et 5 nm de SiCN avec un SiOCH poreux

dans une technologie 65 nm.

(b) Cas d’un CoWP de 20 nm d’épaisseur sans

SiCN, correspondant à une durée de contact avec

la chimie de gravure la plus longue, dans une tech-

nologie 90 nm avec un SiOC dense.

Figure 4.21 : Observations MET de chaînes de vias après intégration du niveau supérieur

sur 20 nm de CoWP/B pour une approche TFHM avec gravure complète du via (approche

décrite dans la Figure 4.19).

Ces deux approches de gravure ont été intégrées en faisant varier l’épaisseur de SiCN et le

type de matériau à faible permittivité (SiOC dense εSiOC

r= 3, 1 et SiOCH poreux εSiOCH

r=

2, 5). La première approche (Figure 4.19) conduit à la surgravure notable du matériau poreux

au niveau des lignes et des vias, ce qui n’est pas le cas lorsque le matériau poreux est remplacé

par un matériau dense (εSiOC

r= 3, 1) (Figures 4.21.a et b). Ce phénomène de surgravure,

qui est donc spécifique au matériau poreux, est attribué à une interaction entre la chimie

de gravure et le CoWPB qui modifie la polymérisation17 des flancs du matériau poreux et

les conditions de gravure. Comme les lignes, qui ne sont pas reliées au CoWPB sous-jacent

(par des vias), sont également surgravées, cette modification de polymérisation est globale

sur l’ensemble de l’échantillon. D’autre part, plus la barrière SiCN sous-jacente est fine et

plus la section des lignes supérieures est importante, ce qui se traduit par des résistances de

ligne plus élevées (Figure 4.23). Ce résultat s’explique simplement par la durée de contact

entre le CoWPB et la chimie de gravure qui est plus longue pour une épaisseur de SiCN de

5 nm que pour 40 nm.

Dans la seconde approche (Figure 4.20), les deux épaisseurs de CoWPB étudiées (5 et

20 nm) sont toutes deux recouvertes de 40 nm de SiCN. Le contact physique entre l’alliage et

la chimie de gravure ne peut s’effectuer qu’à la fin de l’ouverture SiCN. La durée de contact

est donc plus faible que lors de la première approche, ce qui explique que la surgravure du

matériau poreux soit alors limitée (Figures 4.22). D’autre part, comme l’épaisseur de SiCN

est identique dans les deux cas, les résistances des lignes supérieures sont indépendantes de

l’épaisseur de CoWPB sous-jacent (Figures 4.23). Par contre, ces résistances sont plus élevées

17Les plasmas de gravure modifient la structure du matériau poreux au niveau des flancs.

122

4.2. INTÉGRATION D’UN EMPILEMENT HYBRIDE COWP/B+SICN

Figure 4.22 : Coupe MET d’une chaîne de

vias présentant un niveau supérieur formé sur

20 nm de CoWPB et 40 nm de SiCN avec

du SiOCH poreux (technologie 65 nm utili-

sant l’approche TFHM avec gravure partielle

du via).

0,08 0,10 0,12 0,14 0,160

20

40

60

80

100 TFHM avec gravure complète du via

5 nm CoWPB + 40 nm SiCN 20 nm CoWPB + 40 nm SiCN 5 nm CoWPB + 40 nm SiCN 20 nm CoWPB + 5 nm SiCNP

roba

bilit

é cu

mul

ée (%

)

Résistance de ligne ( / )

TFHM avec gravure partielle du via

Figure 4.23 : Résistances de lignes de

100 nm de large mesurées après CMP d’un

niveau métallique intégré en utilisant une ap-

proche TFHM avec via gravé, partiellement

ou complètement (le niveau inférieur est en-

capsulé par 5 ou 20 nm de CoWPB et du

SiCN).

que dans l’approche précédente avec 5 nm de CoWPB recouvert de 40 nm de SiCN, ce qui

confirme que la seconde approche réduit bien la durée de contact entre le CoWPB et la chimie

de gravure. La Figure 4.22 montre toutefois que le diamètre des vias est plus important que

la largeur des lignes (en théorie le via et la ligne ont respectivement un diamètre et une

largeur de 100 nm). Ceci signifie qu’il subsiste une surgrave du matériau poreux au niveau

des vias due à l’effet de l’interaction entre la chimie d’ouverture SiCN et le CoWPB sur la

polymérisation des flancs du matériau poreux et sur les conditions de gravure. Pour palier à

ce problème, il est possible :

– soit de modifier la chimie d’ouverture SiCN pour la rendre inerte vis-à-vis du CoWP/B ;

– soit de renforcer le matériau poreux.

Cette seconde approche permettrait également de conserver les chimies de gravure actuelles.

Pour cela, deux solutions sont envisageables : (i) appliquer un plasma qui densifierait les

flancs du matériau poreux ou (ii) déposer une fine couche d’un matériau diélectrique dense

de façon conforme, appelé liner : typiquement du SiO2, du SiCN ou du SiOC déposés par

CVD ou ALD (Figure 4.24). En contre-partie, ces solutions dégradent légèrement les per-

formances électriques des interconnexions : (i) la densification des flancs du matériau po-

reux par un traitement plasma augmente localement la permittivité du matériau modifié et

(ii) l’introduction d’un matériau diélectrique dense réduit les sections de lignes et de vias ce

qui augmente leur résistance. Il est toutefois possible d’anticiper l’intégration de ces solu-

tions pour éviter la dégradation des interconnexions qu’elles induisent. Par exemple, pour la

couche diélectrique déposée de façon conforme, il suffit de graver de façon plus conséquente

123

CHAPITRE 4. INTÉGRATION ET ÉVALUATION DU COWP/B

(a) Pas de traitement.

(b) Ouverture SiCN.

(a) Traitement plasma.

(b) Ouverture SiCN.

(a) Dépôt diélectrique.

(b) Ouverture SiCN.

Figure 4.24 : Schémas présentant l’effet de procédés de densification des flancs du matériau

poreux pour éviter toute surgravure.

les lignes et les vias de telle sorte qu’une fois le diélectrique déposé, les dimensions de lignes

et de vias correspondent à celles attendues. De plus, il existe un intérêt supplémentaire pour

ces méthodes de densification des flancs des matériaux poreux. En effet, la densification des

flancs du matériau poreux avant le dépôt de la barrière métallique est une technique per-

mettant de reboucher les pores ouverts (technique dite de pore sealing) [Mou03, Bes04a] à

travers lesquels des précurseurs métalliques peuvent pénétrer et diffuser dans le volume du

matériau poreux. C’est le cas, par exemple, des précurseurs utilisés pour le dépôt par ALD

de barrières métalliques fines (épaisseur de l’ordre de quelques nanomètres) nécessaires pour

les générations technologiques les plus avancées.

Dans notre cas, malgré une légère dégradation locale de la permittivité du matériau po-

reux, l’intérêt de densifier les flancs du matériau poreux non pas avant le dépôt de la barrière

métallique mais avant l’ouverture du SiCN est double : éviter l’influence de l’interaction entre

le CoWP/B et la chimie de gravure sur le matériau poreux et anticiper l’intégration de pro-

cédés de métallisation avancés en fermant les pores.

Pour résumer, la gravure est une étape critique pour l’introduction de CoWP/B dans

les technologies d’interconnexions avancées. En effet, l’interaction entre les chimies actuelles

de gravure et les matériaux CoWP/B modifie la polymérisation des flancs du matériau

poreux. Même si le temps durant lequel cette interaction a lieu est minimisé, il subsiste

l’interaction entre la chimie de gravure SiCN et le CoWP/B à la fin de l’ouverture SiCN. Il

124

4.2. INTÉGRATION D’UN EMPILEMENT HYBRIDE COWP/B+SICN

est donc nécessaire soit de développer entièrement une chimie de gravure SiCN compatible

avec l’intégration du CoWP/B (coût, dépendance avec le matériau poreux utilisé. . .) soit de

consolider le matériau poreux par des procédés de densification avant l’ouverture SiCN.

4.2.2.3 Le nettoyage post-gravure

Après l’ouverture SiCN, la chimie (en phase liquide) de nettoyage post-gravure, qui a

pour but de retirer les résidus de polymère et métalliques avant l’étape de métallisation, est

en contact avec le matériau CoWP/B. Comme cette chimie est composée d’acides, elle peut

dégrader l’alliage par un phénomène de corrosion. D’autre part, avant le nettoyage post-

gravure, le matériau CoWP/B peut être modifié soit par la gravure, soit durant l’attente

entre la gravure et l’étape de nettoyage. En effet, l’exposition du matériau CoWP/B en fond

de via à l’atmosphère ambiante peut conduire à son oxydation (Figure 4.18, Page 120). Il

s’agit donc de déterminer l’influence de la chimie de nettoyage sur cet alliage (potentiellement

modifié) inhabituel dans les interconnexions. Pour cela, des observations MET et MEB de

chaînes de vias sont effectuées sur différents échantillons avec CoWP/B en faisant varier

entre autres, l’épaisseur de SiCN.

Les premiers essais d’intégration du CoWP/B concernent son introduction en tant que

barrière auto-positionnée sans barrière diélectrique supplémentaire. Le CoWP/B est alors

oxydé par le dépôt du matériau SiOC à faible permittivité. La métallisation supérieure a

consisté ici en un dépôt CVD de TiN, une alternative au procédé de dépôt de TaN/Ta par

PVD. Les observations MET et les analyses EELS (Figure 4.25.a) montrent clairement le

remplacement du CoWP/B (dont la surface a été oxydée par le dépôt SiOC) par du TiN

sous les vias et autour des vias sur une certaine surface des lignes de cuivre. Au contraire,

dans les structures sans via, l’alliage est toujours présent au-dessus des lignes. La disparition

du CoWP/B sous les vias et autour signifie que ce sont les étapes suivant la gravure du via

(étape de gravure incluse) qui sont responsables de ce phénomène. La présence de TiN à la

place du CoWP/B indique que le retrait du matériau a eu lieu avant le dépôt CVD de TiN.

Comme la gravure est directionnelle, l’interaction entre la chimie de gravure et le matériau

CoWP/B ne peut pas expliquer ce retrait. Ceci conduit donc à incriminer fortement la chimie

de nettoyage à base d’acide dilué dans le mécanisme de retrait du CoWP/B oxydé par le

dépôt SiOC.

L’intégration de CoWP/B dans un empilement hybride permet de limiter son oxydation

durant le dépôt du matériau à faible permittivité SiOC(H). La Figure 4.25.b montre qu’une

fine épaisseur de 5 nm de SiCN permet effectivement de limiter l’oxydation du CoWP/B ; il

subsiste alors du CoWP/B sous les vias et autour, mais le matériau est fortement dégradé.

Au contraire, une couche de 40 nm d’épaisseur est suffisante pour empêcher l’oxydation, et

la quantité de défauts dans le CoWP/B diminue alors fortement (Figure 4.25.c).

Comme la quantité de défauts augmente avec la proportion de CoWP/B oxydée et comme

125

CHAPITRE 4. INTÉGRATION ET ÉVALUATION DU COWP/B

(a) Sans SiCN et avec un nettoyage. (b) Avec 5 nm de SiCN et un nettoyage.

(c) Avec 40 nm de SiCN et un nettoyage (arrêt de

l’intégration après nettoyage). (d) Avec 40 nm de SiCN et sans nettoyage.

Figure 4.25 : Coupes MET et cartographies EELS de chaînes de vias avec 20 nm de

CoWP/B en variant l’épaisseur de SiCN et le nettoyage post-gravure.

Figure 4.26 : Observations MEB en vue de dessus d’un motif encapsulé par du CoWP/B

soumis à un plasma à base d’oxygène suivi d’un nettoyage post-gravure.

ces défauts ne disparaissent qu’en l’absence de nettoyage post-gravure (Figure 4.25.d), leur

formation pourrait être attribuée à une interaction entre la chimie de nettoyage post-gravure

et l’oxyde de CoWP/B. Pour vérifier cette hypothèse, des échantillons avec motifs sur lesquels

du CoWP/B a été déposé puis oxydé ont subi la même chimie de nettoyage que celle post-

gravure. Les observations MEB en vue de dessus montrent des défauts sur la surface CoWP/B

(Figure 4.26), qui correspondent à des craquelures le long des joints de grains du Cu sous-

jacent, ainsi que l’absence de « grains ».

126

4.2. INTÉGRATION D’UN EMPILEMENT HYBRIDE COWP/B+SICN

(a) Après gravure du SiCN. (b) Nettoyage post-gravure. (c) Après nettoyage.

Figure 4.27 : Schéma en coupe mettant en évidence la corrosion galvanique du CoWP/B

lorsque le procédé d’ouverture SiCN grave également complètement le CoWP/B.

(a) Après gravure SiCN. (b) Nettoyage post-gravure. (c) Après nettoyage.

Figure 4.28 : Schéma en coupe mettant en évidence l’impossibilité de la corrosion galvanique

du CoWP/B lorsqu’il reste du CoWP/B après gravure SiCN.

(a) Après gravure SiCN. (b) Nettoyage post-gravure. (c) Après nettoyage.

Figure 4.29 : Schéma en coupe mettant en évidence la corrosion galvanique du CoWP/B

dans le cas où il reste du CoWP/B dégradé sous le via après ouverture SiCN.

Liu et al. [Liu04] ont mis en évidence un mécanisme de corrosion galvanique du couple

Co/Cu18, dont la vitesse augmente avec la concentration d’eau dans la solution, i.e. la

dilution. Dans ce mécanisme, le cobalt, qui est moins noble que le cuivre [Lid03], joue le rôle

d’anode, ce qui a pour conséquence la dissolution du cobalt et son retrait.

18Leur expérience utilise des échantillons sans motifs de 200 nm de Co0,875W0,035P0,092 déposé de façon

auto-catalytique sur Cu, de Co et de Cu déposés par PVD. L’influence de chimies de nettoyage post-gravure

sur la vitesse de gravure et de corrosion de chaque élément ainsi que sur la corrosion galvanique du Co/Cu

a été évaluée grâce à des techniques électrochimiques, incluant la polarisation potientiodynamique (courbes

de Tafel).

127

CHAPITRE 4. INTÉGRATION ET ÉVALUATION DU COWP/B

Si le CoWP/B est totalement gravé alors le cuivre et le CoWP/B autour des vias sont

tous les deux en contact et la corrosion galvanique peut effectivement avoir lieu (Figure 4.27).

Par contre, s’il reste du CoWP/B sous le via après gravure, celui-ci empêche le Cu d’être

en contact avec la chimie de nettoyage, ce qui, en principe, doit inhiber la corrosion galva-

nique (Figure 4.28). Néanmoins, les observations MET de la Figure 4.25.c, qui présentent

un CoWP/B résiduel sous le via, montrent des défauts liés à une interaction entre chimie de

nettoyage et un CoWP/B à priori non oxydé. Pour que le phénomène de corrosion galvanique

ait lieu, il est nécessaire que la chimie de nettoyage soit en contact avec le Cu, probablement

à travers des défauts présents dans le CoWP/B (Figure 4.29). Ces défauts ont pu être générés

par la gravure SiCN ou encore, durant l’exposition du CoWP/B à l’atmosphère ambiante

entre la gravure et le nettoyage post-gravure.

L’étape de nettoyage post-gravure est donc un frein à l’introduction du CoWP/B dans les

interconnexions, car elle peut le dégrader voire le dissoudre complètement par un phénomène

de corrosion galvanique avec le Cu. Une solution pour préserver l’intégrité du CoWP/B serait

de supprimer la solution électrolytique que constitue la solution de nettoyage post-gravure

(Figure 4.25.d).

Néanmoins, l’étape de nettoyage post-gravure est nécessaire pour retirer les résidus de

polymère et métalliques. Il est donc important de développer de nouvelles chimies de net-

toyage compatibles avec toutes les formes de CoWP/B et de vérifier si les chimies anhydres19

comme proposées par Liu et al. [Liu04], sont bien compatibles avec les matériaux utilisés

dans les interconnexions. Une solution alternative serait d’éviter tout contact entre la chimie

de nettoyage post-gravure et le CoWP/B. Pour cela, il suffit d’effectuer le nettoyage après

gravure vias + lignes et avant l’ouverture SiCN, ce qui permet de retirer une majeure partie

des résidus de polymère et métalliques (du masque dur TiN). Par contre, les échantillons

doivent sortir de l’équipement de gravure, aller dans l’équipement de nettoyage puis retour-

ner dans celui de gravure pour l’ouverture SiCN, ce qui peut sembler lourd à mettre en

œuvre d’un point de vue industriel.

4.2.2.4 Contribution à la résistance du via

La présence résiduelle de CoWP/B sous le via modifie ses performances électriques et de

fiabilité :

1. La présence d’une couche de CoWP/B sous le via contribue à l’augmentation, et donc

à la dégradation de la résistance du via20.

19La vitesse de corrosion est la plus faible pour des solutions ne contenant pas d’eau (solution dites

anhydres) [Liu04].20En définissant les paramètres d’un via (Figure 4.31) tels que e

TaN/Ta=5 nm, h

TaN/Ta=15 nm,

ρCu=2 µΩ.cm, ρTaN/Ta

=75 µΩ.cm, une hauteur de via de 100 nm et un diamètre de 100 nm, la présence

d’une couche de 5 nm de CoWP/B de résistivité ρCoWP/B

=100 µΩ.cm sous le via augmente la résistance

128

4.2. INTÉGRATION D’UN EMPILEMENT HYBRIDE COWP/B+SICN

0 2 4 6 8 10 12 14 16 18 20 220

20

40

60

80

100

40 nm SiCN 5 nm CoWPB

+ 40 nm SiCN 20 nm CoWPB

+ 5 nm SiCNPro

babi

lité

cum

ulée

(%)

Résistance de via ( /via)

(a) Résistance d’une chaîne de 25 millions de via de

100 nm de diamètre reliant deux niveaux métalliques de

100 nm de large.

(b) Image MET à l’interface entre le via et

la ligne sous-jacente.

Figure 4.30 : Comportement du CoWPB à la gravure (couches de 5 et 20 nm d’épaisseur)

suivant l’approche TFHM avec gravure complète du via.

2. La barrière métallique en fond de via génère une divergence du flux de migration des

atomes de cuivre sous une contrainte électrique. La présence supplémentaire d’une

couche de CoWP/B peut accentuer la divergence du flux, ce qui peut dégrader les

performances de fiabilité.

Il semble donc plus judicieux de retirer complètement le CoWP/B sous le via. Nous allons

déterminer (i) l’épaisseur de CoWP/B retirée par une étape de gravure standard et (ii) s’il

existe d’autres moyens de le graver.

Dans le cas d’une approche TFHM avec gravure complète du via et nettoyage post-

gravure (Figure 4.19, Page 121), la résistance d’une chaîne de vias avec 5 nm de CoWPB

est plus faible que la référence SiCN (Figure 4.30.a). Ce comportement s’explique par l’aug-

mentation du diamètre des vias due à leur surgravure (Figure 4.21.a, Page 122). Par ailleurs,

les valeurs de résistance pour 20 nm de CoWPB sont plus élevées (médiane à 11 Ω/via) que

celles de la référence SiCN (médiane à 2 Ω/via) (Figure 4.30.a), ce qui signifie qu’il reste du

CoWPB sous le via après intégration. L’épaisseur résiduelle de CoWPB, mesurée à partir

d’une coupe MET, est de l’ordre d’une quinzaine de nanomètres (Figure 4.30.b). L’étape de

gravure permet donc de retirer complètement le CoWPB sous le via lorsque son épaisseur

initiale est de 5 nm.

Une valeur de l’ordre de 10 Ω/via semble toutefois très élevée. Il est intéressant de dé-

terminer la résistivité du CoWP/B correspondante et de la comparer aux valeurs reportées

dans la littérature. Considérons Rv

la résistance totale du via telle que Rv= R1·R2

R1+R2

+R3 +R4,

du via de plus de 30 %.

129

CHAPITRE 4. INTÉGRATION ET ÉVALUATION DU COWP/B

avec R1 la résistance du cuivre contenu dans le via, R2 celle du TaN/Ta sur les flancs du

via, R3 celle du TaN/Ta en fond de via et R4 celle du CoWP/B. En résolvant Rv=10 Ω, il

est possible de déterminer la résistivité de l’alliage pour une épaisseur de matériau eCoWPB

de 15 nm en fonction des dimensions du via (Figure 4.31).

Ces calculs montrent que pour des dimensions théoriques de vias (hauteur de 160 nm et

diamètre de 100 nm), la résistivité correspondant à une résistance mesurée de 10 Ω est de

400 µΩ.cm (Figure 4.31). En considérant les dimensions effectivement mesurées qui tiennent

compte de la surgravure du matériau poreux (diamètre de via de 140 à 150 nm, Figure 4.21.a),

la résistivité du CoWPB atteint 1000 µΩ.cm (Figure 4.31), ce qui est bien supérieur aux

valeurs attendues (inférieures à 150 µΩ.cm [SD01]). Ce résultat indique que le CoWPB a été

dégradé durant l’intégration du niveau supérieur :

– due à une oxydation (i) durant le dépôt du SiOCH sur le SiCN trop fin de 5 nm,

(ii) durant la longue exposition du CoWPB aux chimies de gravure et (iii) par le

retrait de la résine photo-sensible durant la gravure21 et

– par la chimie de nettoyage post-gravure qui peut attaquer l’alliage voire le dissoudre

complètement par un phénomène de corrosion galvanique.

160 140 120 100 80 60400

500

600

700

800

900

1000

1100

Rés

istiv

ité d

u C

oWP

B (µ

.cm

)

Hauteur du via (nm)

S=100 nm

S=110 nm

S=120 nm

S=130 nm

S=140 nm

S=150 nm

Figure 4.31 : Simulation présentant l’évolution de la résistivité du CoWPB sous un via en

fonction de la hauteur h et du diamètre S du via pour une valeur de résistance finale Rv

de

10 Ω. eCoWPB

=15 nm, eTaN/Ta

=5 nm, hTaN/Ta

=15 nm, ρCu

=2 µΩ.cm et ρTaN/Ta

=75 µΩ.cm.

Soit R1 la résistance du cuivre dans le via, R2 celle du TaN/Ta sur les flancs du via, R3

celle du TaN/Ta en fond de via et R4 celle du CoWPB sous le via, ρCoWPB

est déterminé

en résolvant Rv= R1·R2

R1+R2

+ R3 + R4.

21Dans ce cas particulier, l’approche utilisée pour la gravure est le TFHM avec gravure complète du via.

Le retrait de la résine photo-sensible dont la chimie oxydante contient du CO/O2 s’effectue après la gravure

du via et avant l’ouverture du SiCN. Avec une épaisseur initiale de 40 nm de SiCN, le CoWPB est protégé

du CO/O2. Par contre, un SiCN de 5 nm d’épaisseur est soit gravé durant la gravure du via présentant

le CoWPB au CO/O2 soit trop fin pour empêcher l’oxydation du CoWPB par la chimie CO/O2, ce qui,

dans les deux cas, dégrade davantage le CoWPB sous le via. Dans le cas du TFHM avec gravure partielle

du via, le retrait de la résine s’effectue toujours après gravure via, mais cette fois ci, il ne peut pas y avoir

d’interaction entre le CoWP/B et le CO/O2.

130

4.2. INTÉGRATION D’UN EMPILEMENT HYBRIDE COWP/B+SICN

Cette dégradation peut se traduire soit par :

– la création d’une rugosité à la surface du CoWPB qui conduit à la formation d’une

interface entre le CoWPB et le TaN riche en défauts, ce qui peut augmenter du via ;

– la modification du matériau CoWPB augmentant ainsi sa résistivité.

(a) Image MET et cartographie EELS dans le cas

de 20 nm de CoWPB.(b) Image MET dans le cas de 5 nm de CoWPB.

Figure 4.32 : Effet du procédé Punch Through pour 5 et 20 nm de CoWPB encapsulés par

40 nm de SiCN.

Nous avons montré précédemment qu’il était possible de conserver l’intégrité du CoWPB

lorsque (i) le CoWPB est recouvert de 40 nm de SiCN (pas d’oxydation du CoWPB par

le dépôt SiOC et contrôle de la gravure), (ii) l’architecture TFHM avec gravure partielle

des vias (temps de surgravure minimisé) et (iii) aucun nettoyage post-gravure n’est appliqué

(pas de corrosion galvanique possible) (Figure 4.25.d, Page 126). Ce résultat est également

confirmé par la cartographie EELS (Figure 4.32.a) et les performances électriques. En effet,

les valeurs de résistances de via dans ce cas sont beaucoup plus faibles (médiane à 2 Ω/via)

(Figure 4.33.a) que dans le cas où le matériau CoWPB est dégradé (médiane à 11 Ω/via) (Fi-

gure 4.30.a) et la plage de valeurs de résistivité calculées est inférieure ou égale à 150 µΩ.cm,

ce qui est en accord avec la littérature.

Afin de supprimer la contribution du CoWPB à la résistance du via, il est possible d’op-

timiser la chimie de gravure. Par contre, il est nécessaire de trouver au préalable une chimie

de gravure qui soit inerte vis-à-vis du CoWPB pour éviter tout phénomène de surgravure

du matériau poreux.

Une solution alternative pour graver le CoWPB serait de le pulvériser grâce au procédé dit

de Punch Through (PT). Dans un cas standard sans CoWP/B, il consiste à accélérer des ions

argon durant l’étape de métallisation afin de pulvériser la barrière métallique pour l’affiner et

faire pénétrer le via sous la surface du cuivre sous-jacent. Ceci a pour conséquence de réduire

la résistance des vias, ce qui est vérifié expérimentalement (Figure 4.33.b). Ce procédé, une

fois appliqué aux échantillons avec CoWPB, réduit effectivement les résistances des vias

d’environ 20 % (Figure 4.33.b). Pour une épaisseur initiale de 5 nm de CoWPB, le procédé

131

CHAPITRE 4. INTÉGRATION ET ÉVALUATION DU COWP/B

1 2 3 40

20

40

60

80

100

Résistance de via ( /via)

SiCN5 nm CoWPB+ SiCN20 nm CoWPB+ SiCNP

roba

bilit

é cu

mul

ée (%

)

(a) Dépôt TaN/Ta par PVD.

1 2 30

20

40

60

80

100

PVD TaN/Ta

SiCN 5 nm CoWPB + SiCN 20 nm CoWPB + SiCN

Pro

babi

lité

cum

ulée

(%)

Résistance de via ( /via)

PVD PTTaN/Ta

(b) Effet du procédé Punch Through (PT) durant le

dépôt TaN/Ta par PVD.

Figure 4.33 : Résistance d’une chaîne de 25 millions de vias de 100 nm de diamètre reliant

deux niveaux métalliques de 100 nm de large en fonction du procédé de dépôt de la barrière

TaN/Ta et de l’épaisseur de CoWPB (pour une épaisseur de SiCN de 40 nm).

de gravure correspondant à l’ouverture SiCN permet de retirer complètement le CoWPB

sous le via, ce qui explique que la profondeur de pénétration du via sous la ligne dans ce cas

soit du même ordre de grandeur que pour de la référence SiCN (∼25 nm) (Figure 4.32.b).

Pour une épaisseur initiale de 20 nm de CoWPB, l’épaisseur de CoWPB résiduelle sous le

via est moins importante lorsque le procédé Punch Through est appliqué (comparaison des

Figures 4.30.b et 4.32.a). Ces résultats montrent que le procédé Punch Through est capable

de graver du CoWPB mais sur une épaisseur limitée. Il est donc nécessaire d’optimiser le

procédé Punch Through pour l’adapter aux couches minces d’alliage (10 à 20 nm), tout en

prenant soin d’éviter la dégradation du matériau à faible permittivité par une chimie trop

agressive pouvant générer de la rugosité en fond de ligne.

L’absence de nettoyage post-gravure se traduit par la perte de rendement22 des chaînes

de vias ; il est inférieur à 70 % pour la référence et à 50 % pour les échantillons avec 5 nm de

CoWPB (Figure 4.33.a). Bien que le procédé de pulvérisation Punch Through améliore ces

rendements (supérieurs à 70 %), il ne permet pas de retirer autant de résidus de polymère et

métalliques que le nettoyage post-gravure. Néanmoins, ces procédés Punch Through semblent

prometteurs pour réduire la résistance des vias en gravant le matériau CoWP/B.

22Le rendement d’une structure de test dans une représentation de type probabilité cumulée correspond à

la proportion de puces testées qui retournent des valeurs inférieures à celle définie comme étant la limite au

delà de laquelle une puce est considérée comme étant défaillante.

132

4.2. INTÉGRATION D’UN EMPILEMENT HYBRIDE COWP/B+SICN

4.2.2.5 Bilan des solutions alternatives pour intégrer le CoWP/B

Dans les sections précédentes, nous avons détaillé chaque étape de l’intégration pouvant

interagir avec le CoWP/B. Les étapes de gravure et de nettoyage post-gravure se révèlent

être les plus critiques et des solutions pour rendre le matériau CoWP/B compatible avec

ces étapes ont été proposées. Dans cette section, nous allons présenter un bilan sur ces deux

étapes critiques qui empêchent actuellement l’introduction du CoWP/B dans les intercon-

nexions. Ce bilan nous permettra de proposer des solutions simples et globales pour palier à

la fois au problème de gravure et de nettoyage post-gravure.

Problème 1 : l’ étape de gravure

L’introduction du CoWP/B dans les interconnexions a pour conséquence de modifier la

polymérisation des flancs du matériau poreux lors de l’étape de gravure du fait d’une in-

teraction entre le CoWP/B et la chimie de gravure. Même si la durée de cette interaction

peut être minimisée, l’ouverture SiCN conduit de façon inéluctable à une légère surgravure

du matériau poreux. Les solutions possibles sont alors soit de :

– ne pas ouvrir le SiCN dans l’équipement de gravure ;

– modifier la chimie de gravure du SiCN pour la rendre inerte vis-à-vis du CoWP/B ;

– densifier les flancs du matériau poreux avant l’ouverture du SiCN :

– par un traitement plasma ;

– en introduisant une fine couche d’un matériau diélectrique dense (liner).

L’approche de densification répond également au problème posé par l’utilisation de tech-

niques avancées de dépôt de barrière métallique (ALD), en fermant les pores ouverts du

matériau poreux (technique de pore sealing) à travers lesquels les précurseurs du dépôt

peuvent diffuser et dégrader les performances électriques des interconnexions.

Problème 2 : le nettoyage post-gravure

L’effet des différentes étapes d’intégration sur le CoWP/B se résume principalement à

l’oxydation, et donc, à la dégradation du CoWP/B :

– l’attente sous atmosphère ambiante avant encapsulation du CoWP/B (air break) ;

– le dépôt du SiOCH poreux contenant un plasma à base d’oxygène ;

– le retrait de la résine photo-sensible (dans une approche TFHM avec gravure complète

du via) contenant un plasma oxydant à base de CO/O2 ;

– l’attente sous atmosphère ambiante entre la gravure et le nettoyage (air break).

L’utilisation d’une barrière diélectrique SiCN de 40 nm d’épaisseur permet de protéger le

CoWP/B de la majorité de ces étapes critiques :

– le plasma NH3 précédant le dépôt de la barrière SiCN est aussi efficace pour retirer

l’oxyde de cuivre que celui de CoWP/B ;

133

CHAPITRE 4. INTÉGRATION ET ÉVALUATION DU COWP/B

– la barrière protège le CoWP/B sous-jacent de toute oxydation pouvant provenir de la

chimie oxydante du dépôt du matériau à faible permittivité SiOCH ;

– dans une approche TFHM avec gravure complète du via, la barrière SiCN protège le

CoWP/B de la chimie oxydante CO/O2 utilisée pour retirer la résine photo-sensible.

Par contre, après la gravure SiCN, comme la chimie (en phase liquide) de nettoyage post-

gravure est composée d’acides, elle peut attaquer et dégrader le matériau CoWP/B. De plus,

si l’alliage est totalement gravé sous le via, alors la chimie de nettoyage post-gravure est en

contact à la fois avec le Cu et le CoWP/B. Il se forme alors une pile galvanique qui dissout le

CoWP/B. En théorie, s’il reste du CoWP/B après gravure, le Cu n’est pas en contact avec la

chimie de nettoyage, ce qui empêche tout phénomène de corrosion galvanique. Néanmoins, la

présence de défauts dans le CoWP/B, générés par l’étape de gravure ou par l’oxydation du

CoWP/B durant l’attente entre l’étape de gravure et celle de nettoyage post-gravure, permet

à la chimie de nettoyage d’accéder au cuivre sous le CoWP/B et créer une pile galvanique

entre le CoWP/B et le cuivre. Pour éviter toute corrosion galvanique, il s’agit soit :

– de rendre la chimie de nettoyage inerte vis-à-vis du couple Co/Cu, vérifier si les chimies

anhydres pour lesquelles la cinétique de corrosion est la plus faible sont compatibles

avec les matériaux utilisés dans les interconnexions et efficaces pour retirer les résidus

de polymère et métalliques ;

– d’éviter tout contact entre le CoWP/B et la solution de nettoyage post-gravure en

appliquant le nettoyage avant l’ouverture SiCN.

Solutions globales pour l’intégration de CoWP/B

Pour résumer, les étapes de gravure et de nettoyage post-gravure sont des freins à l’intro-

duction du CoWP/B dans les interconnexions. Une première solution pour à la fois éviter la

surgravure du matériau poreux, préserver l’intégrité du matériau CoWP/B et conserver le

schéma d’intégration actuel, serait de développer de nouvelles chimies d’ouverture SiCN et

de nettoyage post-gravure compatible avec le CoWP/B. Une seconde solution, qui permet

de conserver les chimies de gravure et de nettoyage inchangées, consisterait à modifier le

schéma d’intégration en arrêtant la gravure avant l’ouverture SiCN (Figure 4.34.a). Le SiCN

qui recouvre le CoWP/B en fond de via permet d’empêcher la surgravure du matériau po-

reux et l’oxydation du CoWP/B durant l’attente entre la gravure et le nettoyage. De plus, la

chimie de nettoyage appliquée par la suite permet de retirer une majeure partie des résidus

de polymère et métalliques sans pour autant générer de phénomène de corrosion galvanique

grâce au SiCN qui la sépare du CoWP/B. L’ouverture SiCN, effectuée après le nettoyage

post-gravure peut se faire de deux manières :

– Les échantillons retournent dans l’équipement de gravure pour effectuer l’étape d’ou-

verture SiCN. Au préalable, si la chimie d’ouverture SiCN est inchangée, il est néces-

saire de densifier les flancs du matériau poreux (traitement plasma ou dépôt d’une fine

couche de diélectrique -liner - nécessaire pour l’utilisation de techniques de métallisa-

134

4.2. INTÉGRATION D’UN EMPILEMENT HYBRIDE COWP/B+SICN

(a) Schéma d’intégration standard (Figure 4.35).

(b) Schéma d’intégration avec densification avant l’ouverture SiCN.

(c) Schéma d’intégration utilisant le procédé Punch Through pour l’ouverture SiCN (Figure 4.36).

(d) Schéma d’intégration utilisé pour comparer les performances de fiabilité du SiCN et du

CoWPB.

Figure 4.34 : Description de différents schémas d’intégration envisageables si les chimies

de gravure et de nettoyage doivent rester inchangées.

tion avancées) (Figure 4.34.b). Par contre, cela peut s’avérer lourd à mettre en œuvre

d’un point de vue industriel.

– L’ouverture SiCN s’effectue dans l’équipement de métallisation grâce au procédé de

pulvérisation par accélération d’ions argon (Punch Through) (Figures 4.34.c et 4.36).

Néanmoins, les paramètres de ce procédé doivent être parfaitement maîtrisés afin d’évi-

ter la formation de rugosité sur le fond des lignes.

Enfin, les solutions proposées doivent être transposables aux générations technologiques

les plus avancées. Elles doivent être compatibles avec des matériaux présentant une porosité

de plus en plus élevée (problème de surgravure) ainsi qu’avec des barrières diélectriques plus

fines ou possédant une permittivité plus faible. Avant d’intégrer effectivement ces solutions

dans les générations technologiques de façon industrielle, il est nécessaire de démontrer l’in-

térêt premier du CoWP/B, i.e. l’augmentation notable de la durée de vie des interconnexions

[Hu04b].

135

CHAPITRE 4. INTÉGRATION ET ÉVALUATION DU COWP/B

(a) Gravure via+ligne. (b) Gravure SiCN. (c) Nettoyage.(d) Métallisation avec

Punch Through.

Figure 4.35 : Schéma en coupe d’une intégration standard avec SiCN (Figure 4.34.a).

(a) Gravure via+ligne. (b) Nettoyage.(c) Métallisation avec

Punch Through (ouver-

ture SiCN).

Figure 4.36 : Schéma en coupe d’une intégration CoWP/B avec ouverture SiCN durant

l’étape de métallisation avec le procédé Punch Through (Figure 4.34.c).

4.2.3 Performances électriques et de fiabilité

Afin de préserver l’intégrité du CoWPB au détriment du rendement des chaînes de vias

et d’une légère surgravure des vias, les performances de fiabilité d’empilements hybrides

CoWPB (5 et 20 nm) + SiCN (40 nm) ont été évaluées dans une technologie 65 nm. Le

matériau à faible permittivité utilisé est le SiOCH poreux (εSiOCH

r= 2, 5). La barrière

métallique du niveau sur lequel le CoWPB est déposé est formée par ALD (barrière fine)

afin de déterminer la compatibilité du CoWPB avec cette technique avancée de métallisation.

Le schéma d’intégration correspond à un schéma standard TFHM avec gravure partielle des

vias en supprimant simplement l’étape de nettoyage post-gravure (Figure 4.34.d).

4.2.3.1 Caractérisation du CoWPB intégré

Sélectivité du procédé

Une analyse EELS sur une coupe MET ne montre aucune contamination en Co entre les

lignes de cuivre (Figure 4.37). Ce résultat confirme les observations MEB des Figures 4.14.f

et g, Page 116, et donc la bonne sélectivité du dépôt CoWPB. De plus, la variation norma-

lisée par rapport à la référence des courants de fuite ne présente une légère augmentation

136

4.2. INTÉGRATION D’UN EMPILEMENT HYBRIDE COWP/B+SICN

(a) Coupe MET d’une chaîne de vias.

0 50 100 150 200 250 3000,0

2,0x104

4,0x104

6,0x104

8,0x104

1,0x105

SiC

N SiO2 SiOCH

Inte

nsité

(cou

ps)

Position (nm)

NO

Co

SiOCH

(b) Profil EELS correspondant.

Figure 4.37 : Détermination de la sélectivité du procédé CoWPB de 20 nm d’épaisseur.

-1 0 10

20

40

60

80

100

SiCN 5 nm CoWPB

+SiCN 20 nm CoWPB

+ SiCNPro

babi

lité

cum

ulée

(%)

Variation normalisée de courant de fuite (dec)

(a) Variation normalisée par rapport à la référence

des courants de fuite.

4 6 8 10 120

20

40

60

80

100

SiCN 5 nm CoWPB

+ SiCN 20 nm CoWPB

+ SiCNPro

babi

lité

cum

ulée

(%)

Champ de claquage (MV/cm)

(b) Tensions de claquage.

Figure 4.38 : Détermination de la sélectivité du procédé CoWPB de 20 nm d’épaisseur par

des mesures électriques sur des structures peigne/serpentin dont les lignes de 100 nm de large

sont espacées de 100 nm.

(inférieure à 0, 25 décades) que pour 20 nm de CoWPB (Figure 4.38.a). Enfin, de meilleures

tensions de claquage pour les échantillons avec CoWPB sont mesurées (Figure 4.38.b). Les

chemins potentiels de conduction (composés entre autres de défauts), qui se trouvent sur le

masque dur SiO2, ont donc été certainement modifiés lors des étapes de rinçage/nettoyage

(avant et après dépôt) spécifiques aux procédés CoWPB.

Les observations MET révèlent que la croissance du CoWPB est bien sélective par rap-

port à la barrière métallique TaN/Ta (Figure 4.39). Mais au-delà de cette sélectivité, il est

remarquable d’observer une cavité entre le CoWPB et le TaN/Ta, et ce quelles que soient les

137

CHAPITRE 4. INTÉGRATION ET ÉVALUATION DU COWP/B

(a) 20 nm CoWPB et barrière métallique

TaN/Ta épaisse déposée par PVD.

(b) 20 nm CoWPB et barrière métallique

TaN/Ta fine déposée par ALD.

(c) Coupe MET d’une ligne de cuivre avec 5 nm

de CoWPB.

0 5 10 15 200

500

1000

1500

2000

Inte

nsité

(cou

ps)

Profondeur (nm)

Si Cu Co

(d) Profil EELS au point triple.

Figure 4.39 : Coupes MET de lignes de cuivre recouvertes de 5 ou 20 nm d’épaisseur de

CoWPB en variant l’épaisseur de barrière métallique TaN/Ta.

épaisseurs de CoWPB et de barrière métallique (Figures 4.39.a, b et c), alors que la crois-

sance isotrope du CoWPB devrait mettre le CoWPB en contact avec la barrière métallique.

A partir de l’analyse EELS réalisée sur l’échantillon avec 5 nm de CoWPB, qui montre que

cette cavité est remplie de SiCN (Figures 4.39.c et d), un scénario possible pour expliquer

ce phénomène serait le suivant :

– Avant la croissance de CoWPB, le pourtour de la surface de la barrière métallique est

oxydée.

– La croissance du CoWPB ne s’initie que sur le cuivre.

– Le CoWPB croît de façon isotrope pour venir en contact avec l’oxyde de Ta.

– L’épaisseur de CoWPB (5 nm) est trop faible recouvrir l’oxyde de Ta.

– Après la croissance de CoWPB, l’oxyde de Ta est retiré (par l’étape de rinçage du

procédé CoWPB ou par le plasma NH3 avant le dépôt de la barrière diélectrique)

laissant un espace entre le Ta et le CoWPB .

– Le dépôt de SiCN remplit la cavité.

138

4.2. INTÉGRATION D’UN EMPILEMENT HYBRIDE COWP/B+SICN

(a) Cas avec une barrière métallique épaisse.

(b) Cas avec une barrière métallique fine.

(c) Cas avec une barrière métallique fine et un retrait partiel de cuivre.

Figure 4.40 : Influence de l’épaisseur de la barrière métallique sur le débordement latéral

du CoWP/B.

D’un point de vue électrique, ces cavités peuvent être le lieu de l’injection de porteurs

de charges dans les diélectriques qui dégradent les courants de fuite. Or, les mesures élec-

triques précédentes prouvent que ces cavités ne détériorent pas les performances électriques

des interconnexions. Ces résultats, qui semblent contradictoires, s’expliquent par le fait que

l’alliage est localement remplacé par le diélectrique (Figure 4.38).

Les observations MET (Figures 4.39.a et b) montrent également que pour une épaisseur de

20 nm de CoWPB, la proportion de surface de barrière métallique recouverte par du CoWPB

augmente avec la réduction de l’épaisseur de barrière (Figure 4.40.a et b). Si l’épaisseur de

CoWPB est importante ou si celle de la barrière métallique est très fine, ce recouvrement

de la barrière métallique peut conduire au débordement latéral du CoWPB en dehors des

lignes et ponter deux lignes adjacentes. Les barrières métalliques fines, comme celles déposées

par ALD, qui sont nécessaires pour les générations technologiques avancées afin de réduire

la résistivité du cuivre (Figure 1.9, Page 16), conduisent donc de façon inévitable à un

débordement latéral de CoWPB épais. Une solution pour palier à ce problème serait de

graver partiellement le cuivre avant le dépôt de l’alliage (Figure 4.40.c), mais au détriment

d’une forte augmentation de la résistance des lignes (Figure 4.16.b, Page 118).

Par contre, pour une épaisseur de 5 nm de CoWPB, comme une partie de la surface du

cuivre est consommée par l’étape d’initiation du dépôt CoWPB, la croissance 3D de CoWPB

n’est pas suffisante pour recouvrir la barrière métallique (Figure 4.39.c). De ce fait, aucun

problème de débordement n’est attendu pour de faibles épaisseurs de CoWPB, quelle que

soit la technique de dépôt de la barrière métallique ou son épaisseur.

139

CHAPITRE 4. INTÉGRATION ET ÉVALUATION DU COWP/B

Influence du CoWPB sur la résistance

L’ensemble formé par une couche de CoWPB et une ligne de cuivre correspond à deux

résistances en parallèle Rligne

et RCo

. Soit ∆R la variation de résistance engendrée par le

dépôt d’une couche de CoWPB sur une ligne de cuivre :

∆R = −

Rligne

Rligne

+ RCo

(4.13)

Soient ρCu

et ρCoWPB

les résistivités du Cu et du CoWPB, hCu

et hCoWPB

la hauteur de la

ligne et l’épaisseur de CoWPB, on obtient alors :

∆R = −

1

1 +ρCoWPB

ρCu. hCu

hCoWPB

(4.14)

Pour ρCoWPB

≃ 50 × ρCu

, hCu

= 290 nm et hCoWP

=20 nm,

ρCoWPB

ρCu

.h

Cu

hCoWPB

≫ 1 (4.15)

et donc,

∆R ≃ −

ρCu

ρCoWPB

.h

CoWPB

hCu

(4.16)

L’application numérique de l’Equation 4.16 donne ∆R ≃ −0, 13 %, ce qui signifie que la

réduction de résistance par l’introduction d’une couche de CoWPB sur le cuivre est négli-

geable pour les dimensions considérées. Cependant, la Figure 4.41.a montre que la présence

de CoWPB augmente légèrement la résistance des lignes de 3 % (valeur médiane) et 75 %

de la population présente une augmentation de résistance inférieure à 5 %. Cette augmen-

tation est indépendante de la hauteur de CoWPB mais la distribution est plus étalée pour

une épaisseur de 20 nm de CoWPB (jusqu’à 10 %). L’augmentation de résistance est at-

tribuée au retrait d’une certaine quantité de cuivre à la surface des lignes provenant de sa

consommation durant l’étape d’initiation du procédé CoWPB (Figures 4.41.c et d). Soit er

l’épaisseur de Cu consommé :

∆R =

(

ρCu

ρCoWPB

.h

CoWPB

hligne

+ 1 −

er

hligne

)

−1

− 1 (4.17)

Pour ρCoWPB

≃ 50 × ρCu

, hCu

= 290 nm, hCoWP

=20 nm et une épaisseur er

mesurée de

6 nm (Figures 4.41.c et d), l’augmentation de résistance calculée est de l’ordre de 3 % (Fi-

gure 4.41.b), ce qui est proche de la valeur médiane mesurée électriquement (Figure 4.41.a).

Ce calcul montre également que la variation de résistance dépend plus fortement de l’épais-

seur de Cu consommé que de celle de CoWPB (Figure 4.41.b). La distribution étalée des

variations de résistances provient donc d’une inhomogénéité des épaisseurs de Cu consommé

par le procédé de CoWPB sur l’ensemble de la plaque.

140

4.2. INTÉGRATION D’UN EMPILEMENT HYBRIDE COWP/B+SICN

0 5 100

20

40

60

80

100

SiCN 5 nm CoWPB

+ SiCN 20 nm CoWPB

+ SiCN

Pro

babi

lité

cum

ulée

(%)

Variation normalisée de résistance (%)

(a) Variation normalisée par rapport à la référence

SiCN de résistances entre la mesure après CMP et

celle après intégration du niveau supérieur pour un

serpentin de 100 nm de large.

0 5 10 15 20012345678

20 nm CoWPB + SiCN

5 nm CoWPB + SiCN

Var

iatio

n de

rési

stan

ce (%

)

Epaisseur de Cu consommé (nm)

(b) Simulation présentant l’évolution de la varia-

tion de résistance en fonction de l’épaisseur de Cu

consommé par le procédé CoWPB : 0 % correspond

au cas sans CoWPB et sans consommation de Cu.

(c) Coupe MET de la surface d’une ligne sans

CoWPB avec une barrière référence SiCN.

(d) Coupe MET de la surface d’une ligne recou-

verte de 20 nm de CoWPB.

Figure 4.41 : Influence du procédé CoWPB sur la résistance des lignes.

Pour résumer, le procédé auto-catalytique étudié pour la formation du CoWPB est ef-

fectivement un procédé sélectif pour lequel la croissance s’effectue uniquement sur le cuivre.

Même si les échantillons avec CoWPB présentent des cavités au niveau des points triples

(jonction entre la barrière TaN/Ta, le Cu et le CoWPB), leurs courants de fuite ne sont pas

dégradés et leurs tensions de claquage latéral sont mêmes améliorées. Par contre, la consom-

mation du cuivre due à l’initiation du dépôt de CoWPB augmente la résistance des lignes

de façon négligeable23 et inhomogène. Néanmoins, pour les nœuds technologiques avancées,

la dégradation de la résistance des lignes due à l’initiation de la croissance du CoWPB s’ac-

centuera car la proportion de cuivre consommée sera plus importante. Il est donc nécessaire

23Les variations de procédé modifient les dimensions des interconnexions (hauteur, largeur) d’une puce à

une autre et d’une plaque à une autre. Une augmentation de 10 nm de la largeur d’une ligne initialement

de 115 nm due à une dispersion du procédé de photolithographie (différence observée entre une puce du

centre de la plaque et une puce du bord - Figure 3.8, Page 57) augmente la résistance d’environ 8 %. Cette

augmentation de la résistance s’accentuera pour les générations technologiques à venir du fait de la réduction

des dimensions des interconnexions.

141

CHAPITRE 4. INTÉGRATION ET ÉVALUATION DU COWP/B

d’optimiser ces procédés auto-catalytiques afin de minimiser l’épaisseur de Cu consommée.

4.2.3.2 Electromigration

Comme nous l’avons montré précédemment, l’intégrité du CoWPB après l’intégration du

niveau supérieur est préservée (Figure 4.32) et permet de pousser plus loin l’étude des per-

formances de fiabilité de cet alliage. Deux tests d’électromigration ont donc pu être effectués

après réalisation des niveaux métalliques 5 et 6, de la passivation avec plots en aluminium et

enfin, mise en boîtier des échantillons (la barrière métallique du niveau sur lequel le CoWPB

est formé est déposée par ALD). Le test VxM

x+1 permet d’évaluer l’aptitude du CoWPB

à sceller la surface du cuivre (Figure 3.11, Page 60). Le test VxM

x(Figure 3.10, Page 60)

permet de mettre sous contrainte le fond des vias et d’éviter le déplacement et l’accumulation

de lacunes à l’interface supérieure du cuivre. Il doit révéler l’effet d’une couche résiduelle de

CoWPB sous la barrière métallique (Figure 4.32.a) sur la divergence de flux aux interfaces

Cu/Ta/TaN/CoWPB/Cu et celui du procédé pulvérisation Punch Through (Figure 4.32).

Fiabilité des lignes : test VxM

x+1

Lorsqu’une fine couche de 5 nm de CoWPB est introduite entre le cuivre et le SiCN,

les durées de vie des lignes étroites (110 nm) augmentent d’un facteur 70 (valeur médiane)

par rapport à la référence SiCN avec une très faible déviation standard (Figure 4.42). Par

contre, pour une couche de 20 nm de CoWPB, la distribution des durées de vie ne suit pas

une loi normale24, ce qui peut provenir de problèmes liés à l’intégration de couches épaisses

de CoWPB. Néanmoins, alors que tous les échantillons avec 5 nm de CoWPB ont failli avant

103 heures de tests, plus de 40 % des échantillons avec 20 nm de matériau n’ont pas encore

failli après ce délai (Figure 4.42). Ces résultats montrent donc qu’en l’absence de problèmes

d’intégration du CoWPB, les meilleures performances de fiabilité seraient obtenues avec une

couche épaisse de CoWPB.

Les énergies d’activation ne peuvent être calculées que pour la référence et pour une

épaisseur de 5 nm de CoWPB (cas pour lesquels la distribution suit une loi normale et

deux températures ont été testées) : ESiCN

a=0, 96 ±0, 16 eV et ECoWPB5nm

a=1 ±0, 12 eV. Ces

valeurs d’énergie d’activation indiquent que la migration des atomes de cuivre sous un flux

d’électrons a lieu à une interface entre le cuivre et un diélectrique (Einterface

a=[0, 8−1, 06] eV

[Arn03]). Dans le cas de la référence, il s’agit de l’interface Cu/SiCN. Par contre, pour

le CoWPB de 5 nm d’épaisseur, la seule interface Cu/diélectrique correspond à l’interface

Cu/SiCN au niveau de la cavité remplie de SiCN entre le CoWPB, la barrière TaN/Ta et

le Cu (Figure 4.39.c, Page 138). Ce résultat diverge de celui obtenu dans la littérature où

24Une distribution normale correspond à la distribution de probabilités d’une variable aléatoire continue

dont la courbe est parfaitement symétrique, unimodale et en forme de cloche. Formellement, une distribution

normale de moyenne µ et d’écart type σ est définie par la formule : y =1

2.π

. exp−1

2

(

x−µ

σ

)2. Dans une

représentation lognormale, une distribution est dite normale si les points sont alignés sur une droite.

142

4.2. INTÉGRATION D’UN EMPILEMENT HYBRIDE COWP/B+SICN

10-1 100 101 102 10312

10

305070

90

98

X 150

SiCN 5 nm CoWPB

+ SiCN 20 nm CoWPB

+ SiCN

Pro

babi

lité

cum

ulée

(%)

Durée de vie (heures)

X 70

(a) Tests à 350 C.

10-2 10-1 100 101 102 10312

10

305070

90

98 SiCN 20 nm CoWPB

+ SiCN 5 nm CoWPB

+ SiCN

Pro

babi

lité

cum

ulée

(%)

Durée de vie (heures)

X 70

X 400

(b) Tests à 400 C.

Figure 4.42 : Tests d’électromigration V1M2 avec une largeur de ligne M2 de 110 nm com-

parant la référence SiCN de 40 nm à 5 et 20 nm de CoWPB encapsulé par 40 nm de SiCN

sous une densité de courant de 1,5 MA/cm2. Certains échantillons avec 20 nm de CoWPB

n’ont pas failli après 103 heures de test.

une énergie d’activation de 2 eV (migration dans le volume même des grains de cuivre) est

atteinte pour une épaisseur de 9 nm de CoWP/B [Hu04b].

Pour déterminer si, dans le cas de 5 nm de CoWPB, l’interface Cu/SiCN est bien le lieu

des phénomènes d’électromigration, faisons une analogie avec les procédés CuSiN. Dans le

cas des procédés CuSiN précédemment étudiés, comme tous les grains de cuivre en surface

n’étaient pas siliciurés, l’interface Cu/SiCN était toujours le lieu où se déroulait les phéno-

mènes d’électromigration (ce qui a été mis en évidence par une énergie d’activation de l’ordre

de 1 eV et par les analyses de défaillances - Figure 3.25.a, Page 77). Néanmoins, malgré cette

siliciuration incomplète de la surface du cuivre, les procédés CuSiN améliorent la durée de vie

des interconnexions d’un facteur 10 (Figure 3.23, Page 74). D’après ces résultats sur CuSiN,

il est fort probable que pour le CoWPB de 5 nm, les phénomènes d’électromigration aient

également lieu à l’interface Cu/SiCN au niveau des cavités remplies de SiCN entre le Cu,

le CoWPB et le TaN/Ta, sur une bande de quelques nanomètres de large (Figure 4.43). En

effet, l’énergie d’activation est également de l’ordre de 1 eV et la surface de Cu est partielle-

ment scellée par le CoWPB. Par contre, le taux de recouvrement de Cu avec du CoWPB est

beaucoup plus important que dans le cas du CuSiN (Figure 4.43). De ce fait, la très faible

surface disponible pour la migration des atomes de cuivre dans le cas du CoWPB de 5 nm

conduit à une plus grande résistance des interconnexions contre la migration des atomes de

cuivre et donc à des durées de vie encore plus longues (gain d’un facteur 70).

143

CHAPITRE 4. INTÉGRATION ET ÉVALUATION DU COWP/B

Figure 4.43 : Schéma de lignes étroites comparant la surface disponible pour la migration

d’atomes de cuivre sous un flux d’électrons (Cu/SiCN) pour les procédés CuSiN et CoWPB

(5 nm).

En ce qui concerne les lignes de test plus larges (3 µm), la distribution des durées de

vie pour tous les échantillons, y compris la référence, présente un caractère bi-modal (Fi-

gure 4.44.a). Il existe alors deux modes de défaillance liés non pas aux matériaux encapsulant

le cuivre mais à la structure de test et/ou aux procédés d’intégration. Lorsque les défaillances

tardives seules sont représentées, l’amélioration de la durée de vie des interconnexions sous

test avec CoWPB est moins importante que pour les lignes étroites et indépendante de

l’épaisseur de CoWPB (Figure 4.44.b). Ce gain est toutefois d’un facteur 7 par rapport à la

référence SiCN.

Cette variation du gain sur la fiabilité en fonction de la largeur de la ligne de test provient

d’une différence de microstructure entre les lignes étroites et les lignes larges (Figures 1.13).

En effet, les chemins de migration des atomes de cuivre sous un flux d’électrons se situent soit

aux interfaces (Ea=[0, 8−1, 06] eV [Arn03]), soit au niveau des joints de grains (E

a=[0, 85−

1, 2] eV [Bur70, Gup95, Sur94]) soit dans le volume des grains de cuivre (Ea=2, 15 eV [Phi85]).

Dans le cas des lignes étroites, comme la taille d’un grain de cuivre est supérieure à la largeur

d’une ligne, la migration des atomes de cuivre ne peut pas s’effectuer par les joints de grains.

Le premier chemin de migration correspond alors à l’interface Cu/SiCN. Dans le cas des

lignes larges, la taille des grains de cuivre est inférieure à la largeur de la ligne. Dans ce

cas, la migration des atomes de cuivre peut s’effectuer le long des joints de grains et/ou à

l’interface Cu/SiCN.

Quelle que soit la largeur de la ligne considérée, le CoWPB scelle une majeure partie de

la surface du cuivre. Dans le cas des lignes étroites, comme il n’existe pas d’autre chemin de

144

4.2. INTÉGRATION D’UN EMPILEMENT HYBRIDE COWP/B+SICN

101 102 103 10412

10

305070

90

98

SiCN 5 nm CoWPB

+ SiCN 20 nm CoWPB

+ SiCNPro

babi

lité

cum

ulée

(%)

Durée de vie (heures)

(a) Représentation avec toutes les défaillances.

102 103 10412

10

305070

90

98

SiCN 5 nm CoWPB

+ SiCN 20 nm CoWPB

+ SiCNPro

babi

lité

cum

ulée

(%)

Durée de vie (heures)

X 7

(b) Représentation avec les défaillances tardives.

Figure 4.44 : Tests d’électromigration de type V1M2 avec une largeur de ligne M2 de 3 µm

comparant la référence SiCN de 40 nm à 5 et 20 nm de CoWPB encapsulés par 40 nm de

SiCN sous une densité de courant de 1,5 MA/cm2 à 350 C.

migration avec une faible énergie d’activation, la migration des atomes de cuivre est ralentie,

expliquant les plus longues durée de vie par rapport au cas référence. Dans le cas des lignes

larges, si le CoWPB scelle une majeure partie de la surface du cuivre, la migration des

atomes de cuivre s’effectue suivant les joints de grains, dont l’énergie d’activation est proche

de celle d’une migration par les interfaces, ce qui ne permet pas de ralentir suffisamment

leur migration. Ceci explique pourquoi le gain sur les lignes larges est moins important que

sur les lignes étroites.

Néanmoins, les résultats sur lignes étroites sont les plus importants car dans un circuit

intégré, c’est à travers elles que passent les plus fortes densités de courants.

Fiabilité des vias : test VxM

x

Dans un cas standard avec une barrière SiCN, le procédé Punch Through permet de réduire

l’épaisseur de la barrière métallique en fond de via et de faire pénétrer le via dans le cuivre

sous-jacent. Ceci a pour conséquence de réduire la divergence du flux d’atomes du cuivre

lors de tests d’électromigration. C’est pour cela que le procédé Punch Through améliore

les performances de fiabilité du via [Kum04]. Les résultats présentés dans la Figure 4.45

montrent que le procédé Punch Through améliore effectivement la durée de vie sous test

V2M2 d’un facteur 1, 8 (valeur médiane) (Figure 4.45).

Nous avons vu précédemment que pour une épaisseur initiale de 20 nm de CoWPB, le

procédé Punch Through utilisé ne permet pas de retirer complètement le CoWPB sous le

via car il ne grave qu’environ 5 nm de CoWPB. En ce qui concerne la divergence du flux

en fond de via, elle est d’autant plus importante que le CoWPB est épais, ce qui explique

les meilleures performances de fiabilité dans le cas avec Punch Through. Néanmoins, ce gain

145

CHAPITRE 4. INTÉGRATION ET ÉVALUATION DU COWP/B

100 101 10212

10

305070

90

98

Pro

babi

lité

cum

ulée

(%)

Durée de vie (heures)

20 nm CoWPB 20 nm CoWPB + PT SiCN SiCN + PT

(a) Avec 20 nm de CoWPB.

100 101 10212

10

305070

90

98

Pro

babi

lité

cum

ulée

(%)

Durée de vie (heures)

SiCN SiCN + PT 5 nm CoWPB 5 nm CoWPB + PT

X6

(b) Avec 5 nm de CoWPB.

Figure 4.45 : Tests d’électromigration V2M2 pour des vias de 110 nm de diamètre avec ou

sans procédé Punch Through (PT), avec le métal 2 encapsulé par 5 ou 20 nm de CoWPB

(densité de courant de 1,5 MA/cm2 et température de 350 C).

n’est que d’un facteur 1,3 (valeur médiane) (Figure 4.45.a). Ce résultat s’explique par la

faible différence d’épaisseurs de CoWPB entre les cas avec et sans Punch Through (5 nm).

D’autre part, les performances de fiabilité entre le cas avec une couche résiduelle de CoWPB

sous le via et la référence sans CoWPB sont identiques, ce qui signifie que, contrairement à

nos attentes, la présence de CoWPB sous le via n’influence pas la divergence du flux d’atomes

en fond de via (Figure 4.45.a).

Pour une épaisseur initiale de 5 nm de CoWB, il ne reste plus de CoWPB sous le via

après l’étape de gravure. La configuration du via est donc la même que celle de la référence

SiCN. De ce fait, (i) les résultats de fiabilité devraient être identiques et (ii) d’après les

résultats obtenus avec la référence SiCN, le procédé Punch Through ne devrait pas avoir

d’influence sur les résultats de fiabilité. La Figure 4.45.b montre tout le contraire. Sans le

procédé Punch Through, les échantillons avec initialement 5 nm de CoWPB ont des durées

de vie plus longues que la référence SiCN d’un facteur 2, 2 (valeur médiane). L’utilisation

du procédé Punch Through accentue ce gain et le porte à un facteur 6 (valeur médiane).

Ce résultat peut s’expliquer soit (i) par une diminution de lacunes dans la ligne de cuivre

sous-jacente soit (ii) par le blocage des chemins de diffusion de lacunes (aux joints de grain

et à l’interface supérieure de la ligne sous le via) (Figure 3.10, Page 60). La première expli-

cation n’est cependant pas plausible car elle suggérerait que le procédé de dépôt de CoWPB

modifie la quantité de lacunes contenues dans le volume du cuivre sur lequel le CoWPB

croît. Par contre, la présence de CoWPB sur la ligne de cuivre empêche effectivement la

migration des lacunes vers le site de divergence par l’interface supérieure du cuivre. Néan-

moins, la largeur de ligne pour cette structure de test est choisie de telle sorte à ce que ce

soit le via qui soit contraint et non l’interface supérieure du cuivre (largeur de 5 µm pour

146

4.3. CONCLUSION SUR LES PROCÉDÉS COWP/B

un diamètre de 110 nm). Ce gain inattendu sur la fiabilité des vias dans le cas avec 5 nm de

CoWPB reste inexpliqué : une analyse de défaillance est nécessaire pour le comprendre. Il

est toutefois possible d’affirmer que l’utilisation de 5 ou 20 nm de CoWPB ne dégrade pas

les performances d’électromigration VxM

x.

Pour conclure, l’introduction d’une couche de 5 ou 20 nm de CoWPB sur le cuivre encap-

sulée par 40 nm de SiCN, limite le phénomène d’électromigration à l’interface supérieure du

cuivre. Une épaisseur de 5 nm de CoWPB entre le cuivre et la barrière SiCN permet d’amé-

liorer la durée de vie des interconnexions d’au moins un facteur 70 tout en préservant les

performances d’électromigration au niveau des vias. Néanmoins, pour pouvoir comprendre

et mieux interpréter ces résultats, l’extraction de toutes les énergies d’activation et des ana-

lyses de défaillances sont nécessaires pour déterminer d’une part, leur lieu et leur nature

(dans la ligne effectivement testée, dans les lignes des niveaux supérieurs amenant le courant

mais encapsulés seulement par une barrière diélectrique SiCN, . . .) et d’autre part, l’effet de

l’épaisseur de CoWPB et de la largeur de ligne sur les durées de vie et sur leur distribution.

4.3 Conclusion sur les procédés CoWP/B

D’après la littérature, les matériaux CoWP/B formés de façon auto-catalytique pré-

sentent deux intérêts :

– la sélectivité de la croissance du matériau liée au procédé de formation auto-catalytique ;

– l’adhésion entre le Cu et le CoWP/B est meilleure qu’entre le Cu et la barrière diélec-

trique, ce qui améliore des performances de fiabilité.

Les épaisseurs de CoWP/B à intégrer ont été choisies par rapport à leur influence sur

les performances électriques des interconnexions. Par exemple, une épaisseur de 45 nm cor-

respond au cas où le gain sur la capacité de couplage latérale par le retrait de la barrière

diélectrique est compensé par l’augmentation de la hauteur des lignes due au dépôt de

l’alliage. De ce fait, les propriétés barrières ont été évaluées en utilisant une épaisseur de

CoWP/B de 20 nm pour que le gain sur la capacité de couplage reste significatif.

Nous avons mis en évidence que la croissance auto-catalytique du CoWP/B dépendait

de la microstructure du substrat : le CoWP/B cristallise dès 290 C en prenant comme mo-

dèle la structure du cuivre sous-jacent. De ce fait, l’épaisseur de CoWP/B varie en fonc-

tion de l’orientation cristalline du grain de cuivre sur lequel il croît. De plus, les procédés

auto-catalytiques en phase liquide étudiés sont bien des procédés sélectifs pour lesquels la

croissance s’effectue uniquement sur le cuivre.

Par contre, pour les chimies et les épaisseurs étudiées, le matériau CoWP/B n’est pas une

barrière contre la diffusion du cuivre (diffusion aux joints de grains) et il s’oxyde aisément à

l’atmosphère ambiante. Son intégration en tant que barrière auto-positionnée nécessite donc

147

CHAPITRE 4. INTÉGRATION ET ÉVALUATION DU COWP/B

soit une optimisation de la chimie de dépôt, soit une modification de sa surface pour donner

au CoWP/B des propriétés de barrière. En terme de chimie, il est possible d’augmenter la

quantité de W dans la solution afin de bloquer la diffusion du cuivre aux joints de grains,

mais en contre partie, cette augmentation de la quantité de W facilite davantage l’oxydation

du CoWP/B à l’atmosphère ambiante. Un traitement de siliciuration et de nitruration de

la surface du CoWP/B, comme celui utilisé pour les procédés CuSiN, est un moyen efficace

et facilement intégrable pour obtenir à la fois une barrière contre la diffusion du cuivre et

résistante à l’oxydation.

Néanmoins, comme toute intégration de barrières auto-positionnées, le retrait de la bar-

rière diélectrique constitue une rupture du schéma d’intégration. C’est pourquoi, nous avons

évalué la compatibilité du CoWP/B dans un empilement hybride, dans lequel la barrière di-

électrique protège le CoWP/B. L’étude menée sur différents empilements montre que seules

les étapes de gravure (plus spécifiquement l’ouverture SiCN) et de nettoyage post-gravure

sont des freins à l’intégration du CoWP/B. La première génère une surgravure du matériau

poreux à cause d’une interaction entre la chimie de gravure et le CoWP/B qui modifie les

propriétés de polymérisation et les conditions de gravure. La seconde conduit à la dégra-

dation du CoWP/B mais également à la corrosion galvanique du CoWP/B car la solution

de nettoyage, qui joue le rôle d’électrolyte, est en contact à la fois avec le CoWP/B et le

Cu. Deux types de solutions sont alors envisageables en fonction de leur coût : temps de

développement, nombre d’étapes supplémentaires, facilité de mise en œuvre, influence sur

les rendements. . . :

1. Pour préserver l’architecture d’intégration, il s’agit de développer des chimies de gra-

vure et de nettoyage inertes vis-à-vis du CoWP/B, compatibles avec ce matériau et

ceux déjà présents dans les interconnexions.

2. Pour préserver l’utilisation des chimies actuelles de gravure et de nettoyage, il faut

modifier le schéma d’intégration autour de ces étapes critiques pour éviter de mettre

en contact ces chimies avec le CoWP/B.

Nous avons intégré un empilement hybride CoWPB auto-activé (5 ou 20 nm) + SiCN

(40 nm et εSiCN

r= 5) suivant un schéma d’intégration standard25 dans une technologie

65 nm26 en supprimant l’étape de nettoyage post-gravure pour préserver l’intégrité du

CoWPB. La croissance de 5 ou 20 nm de CoWPB, localisée uniquement sur le cuivre, ne

dégrade pas les courants de fuite. Cependant l’initiation de la croissance du CoWPB, qui

consomme une partie de la surface des lignes de cuivre, entraîne une augmentation de la résis-

tance des lignes inférieure à 3 %. De plus, la présence d’un oxyde de Ta sur le pourtour de la

barrière métallique TaN/Ta avant le procédé CoWPB engendre une cavité entre le CoWPB

25TFHM avec gravure partielle des vias.26Matériau à faible permittivité de type SiOCH poreux de ε

SiOCH

r= 2, 5.

148

4.3. CONCLUSION SUR LES PROCÉDÉS COWP/B

et le Ta, qui, pour une épaisseur de 5 nm de CoWPB, est remplie de SiCN. Une étape de

retrait de l’oxyde de Ta avant la croissance auto-catalytique du matériau permettrait de

supprimer cette cavité.

Bien que la présence résiduelle de CoWPB sous les vias ne dégrade pas les performances

d’électromigration en fond de via, il est nécessaire de la supprimer afin de ne pas détériorer

leur résistance. Dans le cas d’une fine couche de CoWPB (5 nm), l’étape d’ouverture SiCN

grave entièrement le CoWPB sans nécessiter aucune optimisation de procédé. Par contre,

pour un CoWPB initialement épais (20 nm), il subsiste du CoWPB sous le via. Pour le

graver complètement, il est indispensable d’optimiser soit le procédé de gravure (mais il faut

au préalable développer des chimies de gravure inerte vis-à-vis du CoWPB pour éviter tout

phénomène de surgravure du matériau poreux ou densifier les flancs du matériau poreux

avant l’ouverture SiCN), soit celui de pulvérisation Punch Through (mais il faut également

que le procédé Punch Through ne génère pas de rugosité en fond de ligne).

En terme de fiabilité, l’introduction du CoWPB étudié entre le cuivre et la barrière di-

électrique améliore la durée de vie des interconnexions de plusieurs décades sous test d’élec-

tromigration accélérée. Par contre, ce n’est que pour une faible épaisseur de CoWPB (gain

d’un facteur 70) que les durées de vie suivent une loi normale et que les résultats sont ho-

mogènes. L’énergie d’activation alors calculée pour le matériau CoWPB étudié est de l’ordre

de 1 eV, ce qui signifie que (i) la migration des atomes de cuivre sous un flux d’électrons

s’effectue à l’interface Cu/SiCN entre le CoWPB et le Ta sur une bande de quelques nano-

mètres et que (ii) le CoWPB permet bien de sceller la surface du cuivre. Pour résumer, il

semble actuellement plus intéressant d’intégrer de fines couches d’alliage dans un empilement

hybride : peu de développement de procédés spécifiques de gravure de CoWPB en fond de

via et augmentation de plusieurs décades de la durée de vie des interconnexions sous test.

De plus, si le procédé de dépôt CoWPB est optimisé pour retirer l’oxyde de Ta avant la

croissance de l’alliage, alors l’interface Cu/SiCN entre le CoWPB et le Ta serait supprimée,

ce qui reviendrait à sceller parfaitement la surface du cuivre par du CoWPB. La migration

des atomes de cuivre ne pourrait alors se faire que dans le volume du cuivre (Ea

= 2 eV),

améliorant ainsi davantage la durée de vie des interconnexions.

Le gain considérable apporté par le matériau CoWP/B sur les performances de fiabilité

permettrait aux interconnexions des générations technologiques sub-45 nm de résister aux

fortes densités de courants liées à la réduction des dimensions des interconnexions. Avant

d’intégrer le matériau CoWP/B, il est toutefois indispensable de déterminer et de développer

des chimies de gravure et de nettoyage qui soient compatibles avec (i) le CoWPB, (ii) les

équipements de production et (iii) les matériaux constituant les interconnexions d’aujour-

d’hui et de demain (matériau à faible permittivité de plus en plus poreux. . .). De plus, pour

être en mesure de proposer des chimies de gravure compatibles avec le CoWPB et le maté-

riau poreux à faible permittivité, il est nécessaire de comprendre les interactions qui ont lieu

149

CHAPITRE 4. INTÉGRATION ET ÉVALUATION DU COWP/B

entre l’alliage et la chimie d’ouverture SiCN. En terme de perspective, il serait intéressant

d’étudier l’intégration du CoWP/B dans un empilement hybride avec des barrières diélec-

triques qui soient plus fines et/ou qui présentent une permittivité plus faible afin de réduire

leur contribution à la dégradation des performances de propagation du signal. Enfin, l’étude

de traitements de surface du CoWP/B, comme ceux de siliciuration et de nitruration, est

indispensable si le CoWP/B doit être intégré dans des applications liées à l’utilisation de

barrières auto-positionnées.

150

Conclusion générale

La réduction des dimensions des composants d’un circuit intégré permet de réduire le

coût lié à sa fabrication, d’accroître le nombre de fonctionnalités sur une même puce et

d’augmenter la vitesse de fonctionnement des transistors. Par contre, les performances des

interconnexions se dégradent à un point tel que les phénomènes parasites, liés à la propa-

gation du signal, deviennent prépondérants par rapport à ceux générés par les composants

actifs. En effet, si l’on considère à la fois la réduction des dimensions des interconnexions (lar-

geur et hauteur des lignes, espace séparant deux lignes adjacentes, hauteur de diélectrique. . .)

et une composition inchangée des différents matériaux utilisés, la capacité de couplage et la

résistance augmentent naturellement d’une génération à l’autre, et par conséquent le retard

pris par le signal pour être transmis. De plus, la densité de courant qui traverse les lignes et

les vias augmente considérablement pour répondre aux applications des circuits intégrés, ce

qui a pour conséquence d’accentuer les phénomènes d’électromigration qui limitent la durée

de vie des interconnexions par la génération de cavités.

La barrière diélectrique, qui est utilisée (i) pour empêcher la diffusion du cuivre dans la

matrice isolante, (ii) pour protéger le cuivre de toute oxydation, et (iii) comme couche de

contrôle de l’arrêt de la gravure, participe à la dégradation de ces performances de deux

manières :

1. La barrière diélectrique a une permittivité plus élevée (εr

= 7 et 5 pour les géné-

rations 120 et 90 nm) que celle de l’isolant inter-ligne et de ce fait, elle contribue à

la dégradation de la permittivité effective des interconnexions lorsque des matériaux

diélectriques à très faible permittivité (εr

= 3, 1 et 2, 5 pour les générations 90 et

65 nm) sont intégrés comme isolant. Une réduction de l’épaisseur de la barrière ou de

sa permittivité pourrait, a priori, diminuer sa contribution à la dégradation des perfor-

mances de propagation du signal. Néanmoins, il existe des contraintes sur l’épaisseur

et la permittivité de la barrière diélectrique ; par exemple, elle doit présenter une épais-

seur minimale et une certaine composition pour pouvoir jouer son rôle de barrière et

celui de couche d’arrêt de la gravure. De ce fait, le facteur de réduction des dimensions

des interconnexions d’une génération à une autre ne s’applique pas à l’épaisseur des

barrières diélectriques (par exemple, les barrières diélectriques des générations 120, 90

et 65 nm ont toute une même épaisseur de 40 nm) et le coefficient de réduction de

151

Conclusion générale

la permittivité de l’isolant inter-ligne n’est pas appliqué aux barrières diélectriques (la

barrière de la génération 120 nm est le SiN (εSiN

r= 7) mais celle des générations 90

et 65 nm est le SiCN (εSiCN

r= 5)). La contribution de la barrière diélectrique à la

dégradation des performances de propagation du signal ne peut que s’accentuer pour

les futures générations technologiques.

2. Par ailleurs, l’interface entre le cuivre et la barrière diélectrique est le chemin pré-

férentiel de migration des atomes de cuivre sous un flux d’électrons car la barrière

diélectrique présente une plus faible adhésion avec le cuivre que la barrière métallique

avec le cuivre. Ce phénomène, dit d’électromigration, conduit à l’accumulation de la-

cunes pour former une cavité qui va croître et rompre la continuité des lignes et des

vias : lors du fonctionnement du circuit intégré, cette interface est donc responsable

de la limitation de la durée de vie des interconnexions. Avec la réduction des dimen-

sions des interconnexions, la densité de courant augmente considérablement, ce qui a

pour conséquence d’accentuer ces phénomènes d’électromigration et donc de réduire

davantage la durée de vie des interconnexions.

Les procédés de dépôt ou de formation de barrières auto-positionnées sur cuivre, i.e. sé-

lectifs par rapport au cuivre, ont été initialement étudiés afin de répondre aux problèmes de

dégradation des performances de propagation du signal par la suppression de la contribution

de la barrière diélectrique à la capacité de couplage latérale. Cependant, ces traitements se

sont également révélés efficaces pour sceller la surface du cuivre et ainsi améliorer notable-

ment les performances de fiabilité ouvrant ainsi des perspectives plus larges a leur utilisation.

Durant cette thèse, deux procédés de formation de barrières auto-positionnées ont été

évalués. Le premier, fondé sur le traitement sélectif de la surface du cuivre en vue de la

formation d’une barrière dite CuSiN, se réalise en suivant trois étapes : le retrait de l’oxyde

natif de cuivre par un plasma réducteur (NH3, H2,. . .), l’incorporation de silicium dans le

cuivre après décomposition d’un précurseur à base de silicium (silane, tri-méthyl-silane) et

enfin, l’incorporation d’azote dans le siliciure de cuivre pour former le CuSiN stable. L’étude

des procédés CuSiN a permis de déterminer un mécanisme de formation du matériau et de

déterminer les paramètres critiques clefs des différentes étapes du procédé. Pour augmenter

l’homogénéité de la siliciuration, nous avons montré qu’il était possible de jouer sur les para-

mètres du procédé (débit, température, concentration. . .) et les étapes du procédé (plasma

réducteur avant siliciuration. . .). L’étape de siliciuration s’avère difficile à maîtriser car elle

dépend aussi de paramètres indépendant du procédé lui-même, tels que la taille des grains

de cuivre et leur orientation cristalline. Enfin, la nitruration est une étape essentielle pour

donner au CuSiN ses propriétés de barrière, pour stabiliser le silicium contenu dans le CuSiN

et l’empêcher de diffuser dans le cuivre sous des contraintes thermiques et électriques. En

fonction des conditions de procédés CuSiN, une bi-couche CuSiN/SiN auto-positionnée à la

152

Conclusion générale

surface du cuivre peut être obtenu.

Le second procédé est fondé sur la croissance auto-catalytique en phase liquide d’un al-

liage de CoWP/B. La première étape consiste à préparer la surface des échantillons (retrait

de l’oxyde de cuivre) en vue du dépôt. Ensuite, l’initiation de la croissance s’effectue soit

grâce à un catalyseur comme le Pd, soit en utilisant un agent réducteur spécifique. L’étape

de dépôt correspond à la croissance auto-catalytique du CoWP/B. Enfin, une solution de

rinçage permet de mettre fin à la croissance du CoWP/B. Comme les matériaux CoWP/B

sont formés à partir de solutions commerciales, les paramètres du procédé de croissance ne

sont ni accessibles ni modifiables. L’étude concernant ces alliages a donc consisté à vérifier

si les matériaux formés remplissaient les critères requis pour leur introduction en tant que

barrières auto-positionnées.

Pour intégrer ces procédés CuSiN et CoWP/B en tant que couches barrières auto-

positionnées seules, les matériaux formés doivent remplir les fonctions jouées par les barrières

diélectriques qu’ils remplacent. Or, comme les barrières auto-positionnées sont par définition

localisées uniquement sur le cuivre, elles ne permettent pas de contrôler l’arrêt de la gravure

lors d’un désalignement de via ; leur intégration selon cette approche constitue donc une rup-

ture du schéma d’intégration standard. Cependant, une telle rupture est nécessaire pour de

nombreuses applications comme les capteurs d’images CMOS, pour lesquels la réduction du

nombre de couches diélectriques traversées par la lumière avant d’atteindre la photo-diode

permet d’améliorer la sensibilité du capteur, ou encore, la fabrication de cavités d’air entre

les lignes (air-gaps).

Par contre, si les matériaux formés par ces procédés auto-positionnés ne sont pas des

barrières contre la diffusion du cuivre ni contre son oxydation, alors ils doivent être intro-

duits dans un empilement hybride (couche auto-positionnée + barrière diélectrique), où les

contraintes sur les procédés sont moindres. Leur intérêt réside alors non plus dans l’amélio-

ration des performances de propagation du signal mais dans l’amélioration des performances

de fiabilité.

L’intégration de barrières auto-positionnées seules en remplacement des barrières diélec-

triques n’est possible que pour les procédés CuSiN car seuls ces procédés forment des maté-

riaux efficaces pour empêcher la diffusion du cuivre et son oxydation. Un résultat notable a

été de démontrer que l’intégration du CuSiN en remplacement de barrières diélectriques ne

nécessitait aucune modification du schéma d’intégration et pouvait utiliser la plupart des pro-

cédés déjà développés pour les barrières diélectriques. De plus, le désalignement des vias n’est

pas un facteur limitant l’intégration du CuSiN en remplacement des barrières diélectriques

avec les procédés de gravure et les matériaux utilisés. Nous avons montré que l’utilisation

d’une barrière auto-positionnée CuSiN réduit d’environ 5 % la capacité de couplage, amé-

153

Conclusion générale

liore la durée de vie des interconnexions d’un facteur 10 sous test d’électromigration et d’un

facteur 400 sous test de rupture diélectrique.

Par contre, l’intégration dite hybride est envisageable pour les deux procédés étudiés.

Cependant, alors que le CuSiN est parfaitement compatible avec les matériaux et les procédés

standards d’intégration, deux étapes sont critiques pour l’intégration du CoWP/B :

– au cours de l’ouverture du SiCN durant l’étape de gravure, la chimie interagit avec le

CoWP/B ce qui modifie la polymérisation des flancs du matériau poreux et entraîne

sa surgravure ;

– la chimie de nettoyage post-gravure joue le rôle d’électrolyte dans une réaction de

corrosion galvanique entre le Cu et le CoWP/B qui dégrade et retire le CoWP/B de

la surface des lignes.

L’intégration du CoWP/B requiert donc le développement de nouvelles chimies de gravure et

de nettoyage ou l’implémentation des solutions architecturales proposées visant, entre autre,

à éviter tout contact entre le CoWP/B et ces chimies.

En terme de fiabilité, le CuSiN permet d’améliorer la durée de vie des interconnexions

d’un facteur 10 alors qu’une épaisseur de 5 nm de CoWP/B l’augmente d’au moins un fac-

teur 70. Cependant, les énergies d’activation des deux procédés sont proches de 1 eV, ce qui

signifie que la migration des atomes de cuivre s’effectue dans les deux cas à l’interface supé-

rieure du cuivre Cu/SiCN qui n’est pas parfaitement scellée. Pour le CuSiN, cela montre que

tous les grains de cuivre ne sont pas siliciurés et dans le cas du CoWP/B étudié, le défaut

de scellement se produit autour de la barrière TaN/Ta. La quantité de surface non scellée

déterminera la tenue en électromigration des deux approches.

L’évolution des générations technologiques tend à s’effectuer en conservant l’architecture

d’intégration actuelle, i.e. en gardant la barrière diélectrique. L’introduction des procédés

auto-positionnés dans un empilement hybride est donc souhaitable. Les procédés CuSiN dans

ce type d’empilement sont matures et ne nécessitent pas l’introduction de nouveaux équi-

pements ni de modification du schéma d’intégration. Comme ils améliorent ponctuellement

l’interface critique Cu/SiCN (grains siliciurés) en apportant un gain d’un facteur 10 sur la

durée de vie des interconnexions, leur introduction dès le nœud 45 nm permettrait de sa-

tisfaire rapidement le critère de fiabilité pour des densités de courant élevées (le passage du

nœud 65 nm au nœud 45 nm s’accompagne d’une augmentation de la densité de courant d’un

facteur 3 - voir Tableau Page 155). Pour améliorer davantage les performances de fiabilité

du CuSiN, il faudra siliciurer de façon homogène tous les grains de cuivre sans augmenter la

quantité de silicium incorporé. Pour cela, une étude sur la compréhension de l’influence du

plasma réducteur sur l’aptitude des grains de cuivre à se siliciurer est nécessaire.

Au contraire, l’introduction des procédés CoWP/B nécessite encore un important travail

de développement du procédé de dépôt et des procédés d’intégration. Néanmoins, le gain sur

154

Conclusion générale

la résistance des interconnexions contre les phénomènes d’électromigration apporté par les

matériaux CoWP/B est considérable et plus important que celui apporté par le CuSiN. Ils

permettront donc aux interconnexions de résister aux très fortes densités de courant prévues

pour les générations sub-32 nm (le passage du nœud 65 nm au nœud 32 nm s’accompagne

d’une augmentation de la densité de courant d’un facteur 4 - voir Tableau Page 155) ce qui

laisse du temps pour atteindre une maturité suffisante pour lever les différentes limitations

actuelles.

Tableau : Evolution de la densité de courant pour les prochaines générations

technologiques et nœuds technologiques pour l’application des procédés CuSiN et CoWP/B.

Année de production 2005 2006 2007 2008 2009 2010 2011 2012 2013

Nœud technologique (nm) 65 - 45 - 32

Densité de courant(× 105 A/cm2) - niveauintermédiaire)

8, 91 13, 6 20, 8 30, 8 38, 8 51, 5 61, 8 64, 6 80, 8

Domaine CuSiN

d’application CoWP/B

Pour les générations 45 et 32 nm, il est possible de réduire la permittivité effective des

interconnexions sans avoir recours aux barrières auto-positionnées, grâce à l’utilisation de

porosité dans les matériaux isolants inter-lignes. Néanmoins, l’ultime solution est le remplace-

ment de l’isolant inter-lignes par des cavités d’air (air-gaps). Leur intégration, qui correspond

alors à une rupture du schéma d’intégration actuel, requiert l’utilisation de barrières auto-

positionnées comme celles formées par les procédés CuSiN. Néanmoins, sans optimisation, le

gain apporté par les procédés CuSiN sur la fiabilité reste limité. Or, l’intégration de cavités

d’air est requise pour les générations technologiques sub-32 nm, qui nécessitent l’utilisation

de barrières auto-positionnées présentant une aussi forte résistance contre les phénomènes

d’électromigration que celle apportée par le CoWP/B. Il est donc nécessaire de développer

de nouvelles chimies de dépôt d’alliages formant une barrière efficace contre la diffusion du

cuivre, résistante à l’oxydation et aux différents procédés d’intégration. Une alternative, plus

facile à mettre en œuvre, consiste à appliquer un traitement après la formation du CoWP/B

pour modifier sa surface ; nos premiers essais obtenus en appliquant des procédés de siliciu-

ration et de nitruration, qui forment une couche de CoSiN, sont prometteurs. Ces résultats

ouvrent donc une perspective intéressante pour répondre aux exigences de propagation du

signal et de fiabilité des interconnexions des générations technologiques les plus avancées.

155

Références bibliographiques

[Arn01] Arnal V., Raynard J.P., Harrison S., Rapport interne : Compte rendu :

Réalisation d’une barrière SiN d’encapsulation auto-positionnée sur les lignes de

cuivre. STMicroelectronics, 2001.

[Arn03] Arnaud L., Berger T., Reimbold G., Evidence of grain boundary versus inter-

face diffusion in electromigration experiments in copper damascene interconnects.

Journal of Applied Physics, 2003, vol. 93, no. 1, pp. 192–204.

[Ash04] Ashihara H., Ishikawa K., Oshima T., Sasajima K., Konishi N., Uno S.,

Tsugane K., Iwasaki T., Saito T., A suppression of stress induced voiding

in Cu/low-k damascene interconnection using self-aligned metal capping method.

Advanced Metallization Conference, 2004 (AMC 2004), proceedings of the confe-

rence held October 19-21, 2004, in San Diego, California, USA, 2004, pp. 583–594.

[Bar00] Barth E.P., Ivesr T.H., McLaughlin P.S., McDonald A., Levine E.N.,

Greco S.E., Fitzsimmons J., Melville I., Spooner T., DeWan C., Chen

X., Manger D., Nye H., McGahay V., Biery G.A., Goldblatt R.D., Chen

T.C., Integration of copper and fluorosilicate glass for 0.18µm interconnections.

International Interconnect Technology Conference, 2000 (IITC 2000), proceedings

of the conference held June 5-7, 2000, in San Francisco, California, USA, 2000, pp.

219–221.

[Bes04a] Besling W.F.A., Arnal V., Guillaumond J.F., Guedj C., Chapelon L.L.,

Farcy A., Arnaud L., Torres J., Integration of ALD TaN barriers in porous

low-k interconnect for the 45 nm node and beyond ; solution to relax electron

scattering effect. IEEE International Electron Devices Meeting, Technical Digest,

2004, pp. 325–328.

[Bes04b] Besling W.F.A., Michaelson L., Harrison M., Houziaux X., Dao T.,

Tamminga Y., Guenther T., Torres J., Growth behavior of ALD TaN films

on CVD SiOC substrates. Advanced Metallization Conference, 2004 (AMC 2004),

proceedings of the conference held October 19-21, 2004, in San Diego, California,

USA, 2004, pp. 757–762.

[Bla69] Black J.R., Electromigration failure modes in aluminum metallization for semi-

conductor devices. Proceedings of the IEEE, 1969, vol. 57, no. 9, pp. 1587–1594.

157

RÉFÉRENCES BIBLIOGRAPHIQUES

[Bri97] Brinkman W.F., Haggan D.E., Troutman W.W., A history of the invention

of the transistor and where it will lead us. Journal of the Solid-State Circuits,

1997, vol. 32, no. 12, pp. 1858–1865.

[Bur70] Burton B., Greenwood G.W., The contribution of grain-boundary. diffusion

to creep at low stresses. Metal Science Journal, 1970, vol. 4, pp. 215–218.

[Ché05] Chérault N., Carlotti G., Casanova N., Gergaud P., Goldberg C.,

Thomas O., Verdier M., Mechanical characterization of low-κ and barrier die-

lectric thin films. Microelectronic Engineering, 2005, vol. 82, pp. 369–374.

[Cha04] Chapelon L.L., Vitiello J., Mastromatteo, Barbier D., Ferrieu F.,

Torres J., Characterisation of a CVD porous SiOCH (in κ [2.2-2.5] range) and

evaluation of different post-treatments improving mechanical properties for 45 nm

node below. Advanced Metallization Conference, 2004 (AMC 2004), proceedings

of the conference held October 19-21, 2004, in San Diego, California, USA, 2004,

pp. 393–402.

[Che98] Chen F., Gardner D., Influence of line dimensions on the resistance of Cu

interconnections. IEEE Electron Device Letters, 1998, vol. 19, no. 12, pp. 508–

510.

[Chh04] Chhun S., Gosset L.G., Casanova N., Guillaumond J.F., Dumont-

Girard P., Federspiel X., Pantel R., Arnal V., Torres J., Influence

of SiH4 process step on physical and electrical properties of advanced copper in-

terconnects. Microelectronic Engineering, 2004, vol. 76, pp. 106–112.

[Chh05] Chhun S., Gosset L.G., Casanova N., Ney D., Delille D., Trouiller

C., Grégoire M., Gautier B., Dupuy J.C., Torres J., Impact of introdu-

cing CuSiN self-aligned barrier in advanced copper interconnects. Microelectronic

Engineering, 2005, vol. 82, pp. 587–593.

[Chh06] Chhun S., Gosset L.G., Michelon J., Girault V., Vitiello J., Hopsta-

ken M., Courtas S., Debauche C., Bancken P.H.L., Gaillard N., Bryce

G., Juhel M., Pinzelli L., Guillan J., Gras R., Van Schravendijk B.,

Dupuy J.C., Torres J., Cu surface treatment influence on Si adsorption proper-

ties of CuSiN self-aligned barriers for sub-65 nm technology node. Microelectronic

Engineering, 2006.

[Cio06] Ciofi I., Tökei Z., Visalli D., Van Hove M., Water and copper contamination

in SiOC :H damascene : novel characterization methodology based on triangular

voltage sweep measurements. International Interconnect Technology Conference,

2006 (IITC 2006), proceedings of the conference held June 5-7, 2006, in San Fran-

cisco, California, USA, 2006, pp. 181–183.

158

RÉFÉRENCES BIBLIOGRAPHIQUES

[Cle97] Clement J.J., Reliability analysis for encapsulated interconnect lines under DC

and pulsed DC current using a continuum electromigration transport model. Jour-

nal of Applied Physics, 1997, vol. 82, no. 12, pp. 5991–6000.

[Cro90] Cros A., Aboelfotoh M.O., Tu K.N., Formation, oxidation, electronic and

electrical properties of copper silicides. Journal of Applied Physics, 1990, vol. 67,

no. 7, pp. 3328–3336.

[DG05] Dumont-Girard P., Gosset L.G., Chhun S., Juhel M., Girault V., Bryce

G., Prindle C., Torres J., Investigation of an advanced SiH4 based self-aligned

process for Cu BEOL reliability performance improvement on industrial 110 nm

technology. International Interconnect Technology Conference, 2005 (IITC 2005),

proceedings of the conference held June 6-8, 2005, in San Francisco, California,

USA, 2005, pp. 30–32.

[Dub97] Dubin V.M., Shacham-Diamond Y., Zhao B., Vasudev P.K., Ting C.H.,

Selective and blanket electroless copper deposition for ultralarge scale integration.

Journal of The Electrochemical Society, 1997, vol. 144, no. 3, pp. 898–908.

[Ein05] Einati H., Bogush V., Sverdlov Y., Rosenberg Y., Shacham-Diamand

Y., The effect of tungsten and boron on the Cu barrier and oxidation properties

of thin electroless cobalt-tungsten-boron films. Microelectronic Engineering, 2005,

vol. 82, no. 3-4, pp. 623–628.

[Fox05] Fox R., Hinsinger O., Richard E., Sabouret E., Berger T., Goldberg

C., Humbert A., Imbert G., Brun P., Ollier O., Maurice C., Guillermet

M., Monget C., Plantier V., Bono H., Zaleski M., Mellier M., Jacque-

min J.P., Flake J., Sharma B.G., Broussous L., Farcy A., Arnal V.,

Gonella R., Maubert S., Girault V., Vannier P., Reber D., Schussler

A., Mueller J., Besling W., High performance k=2.5 ULK backend solution

using an improved TFHM architecture, extendible to the 45 nm technology node.

IEEE International Electron Devices Meeting, Technical Digest, 2005, pp. 87–90.

[Fuk04] Fukada T., Nishino H., Force driving Cu diffusion into interlayer dielectrics.

Japan Journal of Applied Physics, part 2, 2004, vol. 41, no. 5B, pp. L537–L539.

[Gam05] Gambino J., Adkisson J., Hoague T., Jaffe M., Leidy R., Rassel R.J.,

Kyan J., McGrath D., Sackett D., Stancampiano C., Optimization of Cu

interconnect layers for CMOS image sensor technology. Advanced Metallization

Conference, 2005 (AMC 2005), proceedings of the conference held September 27-

29, 2005, in Colorado Springs, Colorado, USA, 2005, pp. 151–157.

[Gar72] Gartland P.O., Berge S., Slagsvold B.J., Photoelectric work function of a

copper single crystal for the (100), (110), (111), and (112) faces. Physical Review

Letters, 1972, vol. 28, pp. 738–739.

159

RÉFÉRENCES BIBLIOGRAPHIQUES

[Geo95] George M.A., Collins W.E., Chen K.T., Zhiyu Hu S., Egarievwe Y.,

Zheng Y., Burger A., Study of electroless Au film deposition on ZnCdTe crystal

surfaces. Journal of Applied Physics, 1995, vol. 77, no. 7, pp. 3134–3137.

[Gos02a] Gosset L.G., Arnal V., Rapport interne : Compte rendu de J141ZDD : Etude

de la barrière auto-positionnée sur cuivre. Alliance Crolles2, 2002.

[Gos02b] Gosset L.G., Arnal V., Rapport interne : Compte rendu de la DTS1905 :

Barrière auto-positionnée, 2002.

[Gos03] Gosset L.G., Arnal V., Chhun S., Casanova N., Mellier M., Reynard

J.P., Broekaart M., Brun P., Federspiel X., Guillaumond J.F., Ar-

naud L., Torres J., Integration and characterization of a self-aligned barrier

to Cu diffusion based on copper silicide. Advanced Metallization Conference, 2003

(AMC 2003), proceedings of the conference held October 21-23, 2003, in Montreal,

Canada, 2003, pp. 321–327.

[Gos04] Gosset L.G., Chhun S., Farcy A., Casanova N., Arnal V., Besling

W.F.A., Torres J., Integration and performances of an alternative approach

using copper silicide as a self-aligned barrier for 45 nm technology node cu in-

terconnects. International Interconnect Technology Conference, 2004 (IITC 2004),

proceedings of the conference held June 7-9, 2004, in San Francisco, California,

USA, 2004, pp. 15–17.

[Gos05] Gosset L.G., Farcy A., DePontcharra J., Lyan P., Daamen R., Verhei-

jden G., Arnal V., Gaillard F., Bouchu D., Bancken P., Vandeweyer

T., Michelon J., Nguyen V., R H., Torres J., Advanced Cu interconnects

using air gaps. Microelectronic Engineering, 2005, vol. 82, pp. 321–332.

[Got05] Goto K., Yuasa H ans Andatsu A., Matsura M., Film characterization of

Cu diffusion barrier dielectrics for 90 nm and 65 nm technology node Cu inter-

connects. International Interconnect Technology Conference, 2005 (IITC 2005),

proceedings of the conference held June 6-8, 2005, in San Francisco, California,

USA, 2005, pp. 6–8.

[Gra92] Graham A., Allison W., McCash E., A helium atom scattering study of the

growth and structure of silane on Cu(100). Surface Science, 1992, vol. 269, pp.

394–399.

[Guo97] Guo X.C., Madix R.J., In situ STM imaging of ammonia oxydehydrogenation

on Cu(110) : the reactivity of preadsorbed and transient oxygen species. Surface

Science, 1997, vol. 387, pp. 1–10.

[Gup95] Gupta D., Diffusion in several materials relevant to Cu interconnection techno-

logy. Materials Chemistry and Physics, 1995, vol. 41, no. 3, pp. 199–205.

160

RÉFÉRENCES BIBLIOGRAPHIQUES

[Hau03] Haumesser P.H., Mourier T., Maîtrejean S., Cordeau M., Morel T.,

Avale X., Pollet O., Klocke J., Copper post-electroplating anneal : evolution

of in-line vs. furnace anneal on layer properties. Microelectronic Engineering, 2003,

vol. 70, pp. 470–477.

[Hau04] Haumesser P.H., Cordeau M., Maîtrejean S., Mourier T., Gosset L.G.,

Besling W.F.A., Passemard G., Torres J., Copper metallization for advan-

ced interconnects : the electrochemical revolution. International Interconnect Tech-

nology Conference, 2004 (IITC 2004), proceedings of the conference held June 7-9,

2004, in San Francisco, California, USA, 2004, pp. 3–4.

[Hei03] Heino P., Ristolainen E., Strength of Ta-Si interfaces by molecular dynamics.

Microelectronics Reliability, 2003, vol. 43, pp. 645–650.

[Hin04] Hinsinger O., Fox R., Sabouret E., Goldberg C., Verove C., Bes-

ling W., Brun P., Josse E., Monget C., Belmont O., Van Hassel J.,

Sharma B.G., Jacquemin J.P., Vannier P., Humbert A., Bunel D., Go-

nella R., Mastromatteo E., Reber D., Farcy A., Mueller J., Christie

P., Nguyen V.H., Cregut C., Berger T., Demonstration of an extendable

and industrial 300mm BEOL integration for the 65-nm technology node. IEEE

International Electron Devices Meeting, Technical Digest, 2004, pp. 317–320.

[Hol92] Holloway K., Freyer M., Cabral C., Harper J.M.E., Bailey P.J., Tan-

talum as diffusion barrier between copper and silicon : failure mechanism and

effect of nitrogen additions. Journal of Applied Physics, 1992, vol. 71, no. 11, pp.

5433–5444.

[Hsu05] Hsui T., Nasu H., Koike J., Wada M., Takahashi S., Shimizu N., Nishi-

kawa T., Yoshimaru M., Shibata H., Low resistive and highly reliable Cu

dual damascene interconnect technology using self-formed MnSixO

ybarrier layer.

International Interconnect Technology Conference, 2005 (IITC 2005), proceedings

of the conference held June 6-8, 2005, in San Francisco, California, USA, 2005, pp.

188–190.

[Hu03] Hu C.K., Gignac L., Rosenberg R., Liniger E., Rubino J., Sambucetti

C., Stamper A., Domenicucci A., Chen X., Reduced Cu interface diffusion by

CoWP surface coating. Microelectronic Engineering, 2003, vol. 70, pp. 406–411.

[Hu04a] Hu C.K., Gignac L., Rosenberg R., Herbst B., Smith S., Rubino J., Ca-

naperi D., Chen S.T., Seo S.C., Restaino D., Atom motion of Cu and Co

in Cu damascene lines with CoWP cap. Applied Physics Letters, 2004, vol. 84,

no. 24, pp. 4986–4988.

[Hu04b] Hu C.K., Rosenberg R., Capping layer effects on electromigration in narrow

Cu lines. International Workshop on Stress-Induced Phenomena in Metallization,

Austin, Texas 14-16 june 2004, 2004, pp. 97–111.

161

RÉFÉRENCES BIBLIOGRAPHIQUES

[Hum05] Humbert A., Mage L., Goldberg C., Junker K., Proenca L., Llhuillier

J.B., Effect of plasma treatments on ultra low-κ material properties. Microelec-

tronic Engineering, 2005, vol. 82, pp. 399–404.

[Ish04] Ishigami T., Kurokawa T., Kakuhara Y., Withers B., Jacobs J., Kolics

A., Ivanov I., Sekine M., Ueno K., High reliability Cu interconnection utilizing

a low contamination CoWP capping layer. International Interconnect Technology

Conference, 2004 (IITC 2004), proceedings of the conference held June 7-9, 2004,

in San Francisco, California, USA, 2004, pp. 75–77.

[Ita02] Itabashi T., Nakano H., Akahoshi H., Electroless deposited CoWB for copper

diffusion barrier metal. International Interconnect Technology Conference, 2002

(IITC 2002), proceedings of the conference held June 3-5, 2002, in San Francisco,

California, USA, 2002, pp. 285–287.

[ITR03] European Seminconductor Industry Association, Japan Electronics and Infor-

mation Technology Industries Association, Korea Semiconductor Industry Asso-

ciation and Semiconductor Industry Association, 2003, International Technology

Roadmap for Semiconductors, Edition 2003.

[Jia02a] Jiang L., He P., He G., Zong X., Lee C., Copper thermal diffusion in TaN

film on Si substrate. The Japan Journal of Applied Physics, 2002, vol. 41, pp.

6525–6528.

[Jia02b] Jiang Q.T., Nowell M., Foran B., Frank A., Havemann R.H., Pari-

har V., Augur R.A., Luttmer J.D., Analysis of copper grains in damascene

trenches after rapid thermal processing or furnace anneals. Journal of Electronic

Materials, 2002, vol. 31, no. 1, p. 01/10/2015.

[Kan96] Kanazawa T., Kitajima Y., Yokoyama T., Yagi S., Imanishi A., Ohta T.,

Adsorption and decomposition of SiH4 and surface silicide formation on Cu(111)

studied by X-ray adsorption fine structure spectroscopy. Surface Science, 1996,

vol. 357, pp. 160–164.

[Kaw06] Kawahara N., Tagami M., Withers B., Kakuhara Y., Imura H., Ohto

K., Taiji T., Arita K., Kurokawa T., Nagase M., Maruyama T., Oda N.,

Hayashi Y., Jacobs J., Sakurai M., Sekine M., Ueno K., A novel CoWP

cap integration for porous low-k/Cu interconnects with NH3 plasma treatment

and low-k top dielectric structure. International Interconnect Technology Confe-

rence, 2006 (IITC 2006), proceedings of the conference held June 5-7, 2006, in San

Francisco, California, USA, 2006, pp. 152–154.

[Kit83] Kittel C., Physique de l’état solide. Dunod Université, 1983.

162

RÉFÉRENCES BIBLIOGRAPHIQUES

[Koh03a] Kohn A., Eizenberg M., Shacham-Diamand, Structure of electroless deposi-

ted Co0.9W0.02P0.08 thin films and their evolution with thermal annealing. Journal

of Applied Physics, 2003, vol. 94, no. 6, pp. 3810–3822.

[Koh03b] Kohn A., Eizenberg M., Shacham-Diamand Y., Copper grain boundary dif-

fusion in electroless deposited cobalt based films and its influence on diffusion bar-

rier integrity for copper metallization. Journal of Applied Physics, 2003, vol. 94,

no. 5, pp. 3015–3023.

[Kum04] Kumar N., Chu S., Diehl D.L., Tanimoto T., Ohkura A., Maekawa K.,

Mori K., Kobayashi K., Yoneda M., Improvement in parametric and reliability

performance of 90nm dual-damascene interconnects using Ar+ punch-thru PVD

Ta(N) barrier process. Advanced Metallization Conference, 2004 (AMC 2004),

proceedings of the conference held October 19-21, 2004, in San Diego, California,

USA, 2004, pp. 247–252.

[Lan03] Lane M.W., Liniger E.G., Lloyd J.R., Relationship between interfacial adhe-

sion and electromigration in Cu metallization. Journal of Applied Physics, 2003,

vol. 93, no. 3, pp. 1417–1421.

[Lat02] Latt K.M., Park H.S., Seng H.L., Osipowicz T., Lee Y.K., Study on

SiNx

passivated Cu/Ta/SiO2/Si multilayer structure. Journal of Materials Science,

2002, vol. 37, pp. 4181–4188.

[Lee02] Lee K.D., Ogawa E.T., Matsuhashi H., Ho P.S., Statistical study of electro-

migration early failures in dual-damascene Cu/oxide interconnects. Stress-Induced

Phenomena in Metallization, 2002, pp. 61–73.

[Lid03] Lide D.R., Handbook of chemistry and physics, 83rd Edition. Boca Raton : CRC

Press, 2002-2003.

[Lin05] Lin M.H., Lin Y.L., Chang K.P., Su K.C., Wang T., Copper interconnect

electromigration behaviors in various structures and lifetime improvement by

cap/dielectric interface treatment. Microelectronics Reliability, 2005, vol. 45, pp.

1061–1078.

[Liu04] Liu J., , Rath M., King M., Bernhard D., Baum T., Chen Q., Corrosion

control of cobalt cap thin-films. Advanced Metallization Conference, 2004 (AMC

2004), proceedings of the conference held October 19-21, 2004, in San Diego, Ca-

lifornia, USA, 2004, pp. 837–841.

[Llo02] Lloyd J.R., Lane M.W., Liniger E.G., Relationship between interfacial adhe-

sion and electromigration in cu metallization. International Integrated Reliability

Workshop Final Report, 2002, pp. 32–35.

[Mal90] Mallory G.O., Hajdu B.J., Electroless plating : fundamentals and applications.

Orlando, Fla. : The Society. 539 pp., 1990.

163

RÉFÉRENCES BIBLIOGRAPHIQUES

[McC90] McCash E., Chesters M., Gardner P., Parker S., The adsorption and

decomposition of silane on Cu(111). Surface Science, 1990, vol. 225, pp. 279–280.

[Moo65] Moore G.E., Cramming more components onto integrated circuits. Electronics,

1965, vol. 38, no. 8.

[Moo03] Moon P., Dubin V., Johnson S., Leu J., Raol K., Wu C., Process roadmap

and challenges for metal barriers. IEEE Transactions on Electron Devices Meeting,

2003, pp. 841–844.

[Mou03] Mourier T., Joussaume V., Fusalba F., Lecornec C., Maury P., Pas-

semard G., Haumesseur P.H., Maîtrejean S., Cordeau M., Pantel R.,

Pierre F., Fayolle M., Feldis H., Porous low k pore sealing process study

for 65 nm and below technologies. International Interconnect Technology Confe-

rence, 2003 (IITC 2003), proceedings of the conference held June 2-4, 2003, in San

Francisco, California, USA, 2003, pp. 245–247.

[Mur93] Murarka S.P., Metallization : Theory and practice for VLSI and ULSI. Boston :

Butterworth-Heinemann. 250 pp., 1993.

[Nai05] Naik M., Shanmugasundram A., Weidman T., Fang H., Zhu Z., Mei F.,

Wang K., Wijekoon K., Lubomirsky D., Pancham I., Armacost M., Pro-

cess development and integration of electroless coblat cap with low k carbon doped

oxide. International Interconnect Technology Conference, 2005 (IITC 2005), pro-

ceedings of the conference held June 6-8, 2005, in San Francisco, California, USA,

2005, pp. 24–26.

[Ngw04] Ngwan V., Zhu C., Krishnamoorthy A., Effect of surface treatment on dielec-

tric leakage and breakdown of copper damascene interconnects. Thin Solid Films,

2004, vol. 462-463, pp. 321–324.

[Nod04] Noda S., Hirai R., Komiyama H., Shimogaki Y., Selective silicidation of Co

using silane or disilane for anti-oxidation barrier layer in Cu metallization. Japan

Journal of Applied Physics, 2004, vol. 43, no. 9, pp. 6001–6007.

[Nog01] Noguchi J., Ohashi N., Jimbo T., Yamaguchi H., Takeda K.I., Hinode J.,

Effect of NH3-plasma treatment and CMP modification on TDDB improvement

in Cu metallization. IEEE Transactions on Electron Devices, 2001, vol. 48, no. 7,

pp. 1340–1344.

[Ohn85] Ohno I., Wakabayashi O., Haruyama S., Anodic oxidation of reductants in

electroless plating. Journal of The Electrochemical Society, 1985, vol. 132, no. 10,

pp. 2323–2330.

[OS98] O Sullivan E.J., Schrott A.G., Paunovic M., Sambucetti C.J., Marino

J.R., Bailey P.J., Kaja S., Semkow K.W., Electrolessly deposited diffusion

164

RÉFÉRENCES BIBLIOGRAPHIQUES

barriers for microelectronics. IBM Journal of Research Development, 1998, vol. 42,

no. 5, pp. 607–611.

[Osa02] Osaka T., Takano N., Kurokawa T., Kaneko T., Ueno K., Electroless

nickel ternary alloy deposition on SiO2 for application to diffusion barrier layer in

copper interconnect technology. Journal of The Electrochemical Society, 2002, vol.

149, no. 11, pp. 573–578.

[Pau94] Paunovic M., Bailey P.J., Schad R.G., Smith D.A., Electrochemically de-

posited diffusion barriers. Journal of the Electrochemical Society, 1994, vol. 141,

no. 7, pp. 1843–1850.

[Pet02] Petrov N., Sverdlov Y., Shacham-Diamand Y., Electrochemical study of

electroless deposition of Co(P) and Co(W,P) alloys. Journal of The Electrochemi-

cal Society, 2002, vol. 149, no. 4, pp. 187–194.

[Phi85] Philibert J., Diffusion et transport de matière dans les solide. Les Ulis : Les

éditions de Physiques. 472 pp., 1985.

[Pop] Pops H., The metallurgy of copper wire. Disponible sur :

<http ://www.copper.org/innovations/1997/Dec1997/wiremetallurgy.html>.

(consulté le 12.04.2006).

[Pos06] Possémé N., Maurice C., Brun P., Ollier E., Guillermet M., Vérove C.,

Berger T., Fox R., Hinsinger O., New etch challenges for 65-nm technology

node low-k integration using an enhanced trench first hard mask architecture.

International Interconnect Technology Conference, 2006 (IITC 2006), proceedings

of the conference held June 5-7, 2006, in San Francisco, California, USA, 2006, pp.

36–38.

[Rio99] Riordan M., Hoddeson L., Herring C., The invention of the transistor. Re-

views of Modern Physics, 1999, vol. 71, pp. S336–S345.

[Rob97] Robinson A.W., Gardner P., Stampfl A.P.J., Martin R., Nyberg G.,

The adsorption of silicon on Cu(111) and the initial stages of oxidation of the

silicon-copper interface. Surface Science, 1997, vol. 387, pp. 243–256.

[Sai04] Saito T., Ashihara H., Ishikawa K., Miyauchi Y., Yamada Y., Uno S.,

Kubo M., Noguchi J., Oshima T., Aoki H., A robust deep-submicron cop-

per interconnect structure using self-aligned metal capping method. International

Interconnect Technology Conference, 2004 (IITC 2004), proceedings of the confe-

rence held June 7-9, 2004, in San Francisco, California, USA, 2004, pp. 36–38.

[Sch03] Schindler G., Steinlesberger G., Engelhardt M., Steinhögl, Electrical

characterization of copper interconnects with end-of-roadmap feature sizes. Solid-

State Electronics, 2003, vol. 47, pp. 1233–1236.

165

RÉFÉRENCES BIBLIOGRAPHIQUES

[SD01] Shacham-Diamand Y., Sverdlov Y., Petrov N., Electroless deposition

of thin-film cobalt-tungsten-phosporous layers using tungsten phosphoric acid

(H3[P(W3O10)4]) for ULSI and MEMS applications. Journal of The Electroche-

mical Society, 2001, vol. 148, no. 3, pp. 162–167.

[SD02] Shacham-Diamand Y., Zylberman A., Petrov N., Sverdlov Y., Electro-

less Co(Mo,P) films for Cu interconnect application. Microelectronic Engineering,

2002, vol. 64, pp. 315–320.

[Set90] Setton M., Van der Spiegel J., Rothman B., Copper silicide formation by

rapid thermal processing and induced room-temperature Si oxide growth. Applied

Physics Letters, 1990, vol. 57, no. 4, pp. 357–359.

[Sha86] Shatzkes M., Lloyd J.R., A model for conductor failure considering difusion

concurrently with electromigration resulting in a current exponent of 2. Journal

of Applied Physics, 1986, vol. 59, no. 11, pp. 3890–3893.

[Shi04] Shioya Y., Ishimaru T., Ikakura H., Nishimoto Y., Ohdaira T., Suzuki

R., Maeda K., Copper barrier properties of low dielectric constant SiOCNH film

deposited by plasma enhanced CVD. Journal of The Electrochemical Society, 2004,

vol. 151, no. 1, pp. 56–61.

[Smi58] Smits F.M., Measurement of sheet resistivities with four-point probe. The Bell

System Technical Journal, 1958, pp. 711–718.

[Spe04] Spencer G., Grudowski P., Stephens T., Beckage P., Ramani, Integra-

tion of high gapfill, standard and low-κ, contact-level dielectric materials for the

90 nm node and beyond. Advanced Metallization Conference, 2004 (AMC 2004),

proceedings of the conference held October 19-21, 2004, in San Diego, California,

USA, 2004, pp. 403–409.

[Ste05] Steinhögl W., Schindler G., Steinlesberger G., Traving M., Engel-

hardt M., Comprehensive study of the resistivity of copper wires with lateral

dimensions of 100 nm and smaller. Journal of Applied Physics, 2005, vol. 97,

no. 2, p. 023 706.

[Sto91] Stolt L., Heurle F.M., Harper J.M.E., On the formation of copper-rich

copper silicides. Thin Solid Films, 1991, vol. 200, pp. 147–156.

[Sur94] Surholt T., Mishin Y.M., Herzig C., Grain-boundary diffusion and segre-

gation of gold in copper : investigation in the type-b and type-c kinetic regimes.

Physical Review B (Condensed Matter and Materials Physics), 1994, vol. 50, no. 6,

pp. 3577–3587.

[Sze81] Sze S.M., Physics of semiconductor devices. New York : Editions J.Wiley and

Sons. 868 pp., 1981.

166

RÉFÉRENCES BIBLIOGRAPHIQUES

[Tak95] Takewaki T., Ohmi T., Nitta T., A novel self-aligned surface-silicide passiva-

tion technology for reliability enhancement in copper interconnects. Symposium

on VLSI technology digest of technical papers, 1995, pp. 31–32.

[Vit06] Vitiello J., Ducotte V., Farcy A., Gosset L.G., Le-Friec Y., Hopsta-

ken M., Jullian S., Cordeau M., Ailhas C., Chapellon L.L., Barbier D.,

Veillerot M., Danel A., Torres J., New techniques to characterize proper-

ties of advanced dielectric barriers for sub-65 nm technology node. Microelectronic

Engineering, 2006.

[Wir04] Wirth A., Cordeau M., Hahn M., Haumesser P.H., Jammer W., Joulaud

M., Mayer D., Mourier T., Rhein R., Passemard G., Electroless NiMo-P

films for capping/barrier layer applications. Advanced Metallization Conference,

2004 (AMC 2004), proceedings of the conference held October 19-21, 2004, in San

Diego, California, USA, 2004, pp. 329–334.

[Wu03] Wu W., Duan X., Yuan J., Modeling of Time-Dependent Dielectric Breakdown

in copper metallization. IEEE Transaction on Device and Materials Reliability,

2003, vol. 3, no. 2, pp. 26–30.

[Yam00] Yamagishi H., Tökei Z.S., Beyer G.P., Donaton R., Bender H., Nogami

T., Maex K., TEM/SEM investigation and electrical evaluation of a bottomless

I-PVD Ta(N) barrier in dual damascene. Advanced Metallization Conference, 2000

(AMC 2000), proceedings of the conference held October 2-5, 2000, in San Diego,

California, USA, 2000, pp. 279–286.

[Yia03] Yiang K.Y., Yoo W.J., Guo Q., Krishnamoorthy A., Investigation of elec-

trical conduction in carbon-doped silicon oxide using voltage ramp method. Ap-

plied Physics Letters, 2003, vol. 83, no. 3, pp. 524–526.

[Zub02] Zubkov V., Han J., Sun G., Musgrave C., Aronowitz S., Modeling cop-

per diffusion in silicon oxide, nitride and carbide. Proceedings of the Materials

Research Society Symposium B, 2002, vol. 716, pp. B8.4.1–B8.4.6.

167

Glossaire et Index

A

AES - Auger Electron Spectroscopy : Spec-

troscopie des électrons Augers . . . . 38

AFM - Atomic Force Microscopy : Microsco-

pie à forces atomiques . . . . . . . . . . . 42,

114

Agents réducteurs

DMAB - Di-Méthyl-Amino-Borane -

(CH3)2NH :BH3 . . . . . . . . . . . . . . . . . 102

Hypophosphite de sodium - NaH2PO2101

ALD - Atomic Layer chemical vapour Depo-

sition : Dépôt chimique en phase va-

peur de couche atomique . . . . . . . . . 15

C

CMP - Chemical and Mechanical Polishing :

Polissage mécano-chimique . . . . . . . . 8

CoWP/B : Terme générique pour nommer

les matériaux déposés de façon auto-

catalytique à base de Co indépendam-

ment du mode d’initiation. . . . . . .106

CVD - Chemical Vapor Deposition : Dépôt

par voie chimique en phase vapeur . 7

D

Damascène . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8

E

EBSD - Electron Back Scattered Diffraction :

Diffraction des électrons rétro-diffusés

42

ECD - Electro-Chemical Deposition : Dépôt

par voie électrolytique . . . . . . . . . . . . . 7

Electroless (dépôt) : Qui ne nécessite pas l’ap-

port de courant extérieur (en opposi-

tion au dépôt électrolytique) . . . . 100

ESL - Etch Stop Liner : Couche permettant

le contrôle de l’arrêt de la gravure du

via . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57

H

HP - Hot Plate (recuit) : Recuit se faisant sur

un plateau chauffant . . . . . . . . . . 10, 38

Hybride : Empilement faisant intervenir une

couche de matériau auto-positionné

sur laquelle une barrière diélectrique

est déposée . . . . . . . . . . . . . . . . . . . . . . . 49

I

ITRS - International Technology Roadmap

for Semiconductors . . . . . . . . . . . . . . . . 6

K

KFM - Kelvin probe Force Microscopy : Mi-

croscopie en champ proche . . . . . . . 42

L

LPD AAS - Liquid Phase Decomposition Ato-

mic Absorption Spectroscopy : Spec-

troscopie à absorption atomique après

décomposition en phase liquide . . . 34

M

MEB - Microscope Électronique à Balayage

38

MET - Microscope Électronique à Transmis-

sion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40

169

GLOSSAIRE ET INDEX

MTTF - Mean Time To Failure : Durée de

vie médiane à la rupture. . . . . . . . . .59

N

NIST - National Institute of Standards and

Technology : Institut National (USA)

des Standards et de la Technologie86

Nitruration : Incorporation d’atome d’azote

26

NRA - Nuclear Reaction Analysis : Analyse

par observation directe des réactions

nucléaires . . . . . . . . . . . . . . . . . . . . . . . . 34

P

PECVD - Plasma Enhanced Chemical Va-

pour Deposition : Dépôt par voie chi-

mique en phase vapeur assisté par

plasma . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7

Pitch p : Somme de la largeur w d’une ligne et

de l’espace s la séparant d’une ligne

voisine. . . . . . . . . . . . . . . . . . . . . . . .10, 58

PSG - Phosphore doped Silicon Glass : Oxyde

de silicium dopé phosphore . . . . . . . 86

PT - Punch Through : Procédé permettant la

pulvérisation du fond des vias grâce à

des ions argon accélérés par une dif-

férence de potentiel. . . . . . . . . . . . . . .84

PVD - Physical Vapor Deposition : Dépôt par

voie physique en phase vapeur . . . . . 7

R

Réactions

auto-catalytique. . . . . . . . . . . . . . . . . . . . .100

de cémentation. . . . . . . . . . . . . . . . . . . . . .100

de déplacement galvanique . . . . . . . . . . 100

S

sccm - standard cubic centimeters per mi-

nute : cm3.min−1 . . . . . . . . . . . . . . . . . 29

Siliciuration : Incorporation de silicium. . .26

SIMS - Secondary Ion Mass Spectroscopy :

Spectroscopie de masse des ions se-

condaires . . . . . . . . . . . . . . . . . . . . . . . . . 28

T

TDDB - Time Dependent Dielectric Break-

down : Test de rupture chronologique

des diélectriques . . . . . . . . . . . . . . . . . . 61

TFHM - Trench First Hard Mask : Gravure

en premier lieu de l’empreinte de la

ligne dans le masque dur TiN . 8, 120

TMS : Tri-Méthyl-Silane SiH(CH3)3 . . . . . . 32

TTF - Time To Failure : Durée de vie à la

rupture . . . . . . . . . . . . . . . . . . . . . . . . . . 59

U

USG - Undoped Silicon Glass : Oxyde de si-

licium . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86

X

XPS - X-ray Photoemission Spectroscopy :

Spectroscopie de photoélectrons X38

XRF - X-Ray Fluorescence : Fluorescence des

rayons X . . . . . . . . . . . . . . . . . . . . . . . . 115

170

Annexes :

Détermination des mécanismes de

conduction pour des procédés CuSiN

auto-positionnés

(Paragraphe 3.2.3.2, Page 89)

171

Annexes

Différentes représentations graphiques du courant de fuite I mesuré dans un peigne de140 nm de large relié à la masse en fonction de la tension appliquée V aux deux extrémitésd’un serpentin de 70 mm de long et de 140 nm de large espacé de 140 nm du peigne pour la

détermination des modes de conduction :CuSiN/TMS sans plasma O2.

0 10 20 30

2,0x10-11

4,0x10-11

6,0x10-11

8,0x10-11

1,0x10-10

Inte

nsité

(A)

Tension (V)

Données expérimentales

(a) Conduction par sauts.

2 3 4 5 6 7

-32

-30

-28

-26

-24

-22

Ln(I/

V)

Tension(V)0,5 (V0,5)

Données expérimentales

Champ fort

r=4,1

(b) Effet Pool Frenkel.

2 3 4 5 6 7-32

-30

-28

-26

-24

-22

-20

-18

r=3,7

r=0,9

Champ fort

Ln (I

)

(Tension E)0,5 (V0,5)

Données expérimentales

Champ faible

(c) Conduction Schottky.

0,02 0,03 0,04-30

-25

Ln(I/

V²)

(Tension V)-1 V-1

Données expérimentales

(d) Conduction Fowler-Nordheim.

173

Annexes

Différentes représentations graphiques du courant de fuite I mesuré dans un peigne de140 nm de large relié à la masse en fonction de la tension appliquée V aux deux extrémitésd’un serpentin de 70 mm de long et de 140 nm de large espacé de 140 nm du peigne pour la

détermination des modes de conduction :CuSiN/SiH4 sans plasma O2.

0 10 20 30 40 50

2,0x10-9

4,0x10-9

6,0x10-9

8,0x10-9

1,0x10-8

Inte

nsité

(A)

Tension (V)

Données expérimentales

(a) Conduction par sauts.

2 3 4 5 6 7

-30

-25

-20

r=59

r=4,4Champ faibleLn

(I/V

)

(Tension V)0,5 (V0,5)

Données expérimentales

Champ fort

(b) Effet Pool Frenkel.

2 3 4 5 6 7

-30

-25

-20

r=4,1

r=1,1

Ln(I)

(Tension V)0,5 (V0,5)

Données expérimentales

Champ fort

Champ faible

(c) Conduction Schottky.

0,02 0,03 0,04 0,05

-30

-25

-20

Ln(I/

V²)

(Tension V)-1 V-1

Données expérimentales

(d) Conduction Fowler-Nordheim.

174

Annexes

Différentes représentations graphiques du courant de fuite I mesuré dans un peigne de140 nm de large relié à la masse en fonction de la tension appliquée V aux deux extrémitésd’un serpentin de 70 mm de long et de 140 nm de large espacé de 140 nm du peigne pour la

détermination des modes de conduction :CuSiN/TMS avec plasma O2.

0 10 20

2,0x10-10

4,0x10-10

6,0x10-10

8,0x10-10

1,0x10-9

Inte

nsité

(A)

Tension (V)

Données expérimentales

(a) Conduction par sauts.

2 3 4 5

-26

-24

-22

-20

r=19

r=3,7Champ faible

Ln(I/

V)

(Tension V)0,5 (V0,5)

Données expérimentales

Champ fort

(b) Effet Pool Frenkel.

2 3 4 5-25

-20

r=3,2

r=0,6

Ln(I)

(Tension V)0,5 (V0,5)

Données expérimentales

Champ faible

Champ fort

(c) Conduction Schottky.

0,03 0,04 0,05 0,06 0,07 0,08-26

-24

-22

Ln(I/

V²)

(Tension V)-1 V-1

Données expérimentales

(d) Conduction Fowler-Nordheim.

175

Annexes

Différentes représentations graphiques du courant de fuite I mesuré dans un peigne de140 nm de large relié à la masse en fonction de la tension appliquée V aux deux extrémitésd’un serpentin de 70 mm de long et de 140 nm de large espacé de 140 nm du peigne pour la

détermination des modes de conduction :CuSiN/SiH4 avec plasma O2.

0 5 10 15 20

2,0x10-9

4,0x10-9

6,0x10-9

8,0x10-9

1,0x10-8

Inte

nsité

(A)

Tension (V)

Données expérimentales

(a) Conduction par sauts.

2 3 4

-24

-22

-20

r=30

Champ faibleLn

(I/V

)

(Tension V)0,5 (V0,5)

Données expérimentales

Champ fortr=4,3

(b) Effet Pool Frenkel.

2 3 4-25

-20

r=3,5

r=0,6

Ln(I)

(Tension V)0,5 (V0,5)

Données expérimentales

Champ faible

Champ fort

(c) Conduction Schottky.

0,04 0,06 0,08

-24

-22

Ln(I/

V²)

(Tension V)-1 V-1

Données expérimentales

(d) Conduction Fowler-Nordheim.

176

Résumé

Les barrières diélectriques contribuent à la dégradation des performances de propagation du signal et defiabilité qui s’accentue avec la réduction des dimensions des interconnexions. Afin de supprimer la contribu-tion de la barrière diélectrique au couplage capacitif parasite latéral et d’améliorer l’adhésion à l’interfacesupérieure du cuivre, qui limite actuellement la durée de vie des interconnexions, il est possible de remplacerces barrières diélectriques par des barrières auto-positionnées. Par contre, si seul un gain sur la fiabilitéest recherché, alors, des procédés auto-positionnés avant le dépôt d’une barrière diélectrique (empilementhybride) peuvent être introduits pour améliorer l’adhésion entre le cuivre et celle-ci. Dans cette étude, nousévaluons deux procédés auto-positionnés sur cuivre. Le procédé CuSiN consiste à incorporer sélectivementdu silicium (siliciuration) et de l’azote (nitruration) dans le cuivre pour former du CuSiN. Nous avons mis enévidence les paramètres critiques clefs pour la maîtrise d’un tel procédé. Le procédé CoWP/B est fondé sur lacroissance auto-catalytique en phase liquide d’un alliage CoWP/B sur du cuivre. L’évaluation des propriétésbarrières des matériaux auto-positionnés montre que contrairement au procédé CuSiN, le CoWP/B, avec lesépaisseurs et les chimies étudiées, n’est ni une barrière contre la diffusion du cuivre, ni résistant contre l’oxy-dation. De ce fait, seul le CuSiN peut être intégré en tant que barrière auto-positionnée. Les procédés CuSiNintégrés dans un empilement hybride ou en tant que barrières auto-positionnées sont sélectifs et compatiblesavec les matériaux et les procédés d’intégration utilisés. En ce qui concerne l’intégration du CoWP/B dansun empilement hybride, deux étapes sont critiques, la gravure et le nettoyage post-gravure dont les chimiesinteragissent avec le CoWP/B. Nous avons réussi à intégrer et à préserver l’intégrité du CoWP/B sans dé-grader les performances électriques des interconnexions. En terme de fiabilité, pour une génération 65 nm,les procédés CuSiN améliorent la durée de vie des interconnexions d’un facteur 10, alors qu’un CoWP/Bde 5 nm l’améliore d’au moins un facteur 70. L’introduction du CuSiN dès le nœud 45 nm permettrait desatisfaire rapidement le critère de fiabilité alors que le CoWP/B, qui permet de résister à de plus fortesdensités de courant, permettrait de satisfaire les critères de fiabilité des générations technologiques les plusavancées.

Mots Clés : Interconnexions des circuits intégrés, barrières diélectriques, barrières auto-positionnées, siliciu-ration, CuSiN, auto-catalytique, CoWP/B, électromigration, fiabilité, architecture, intégration, damascène

Abstract

The contribution of standard dielectric barriers to signal propagation and reliability performance degra-dation speeds up as interconnects dimensions scale down. Self-aligned barriers, in replacement of standarddielectric barriers avoid the contribution of dielectric barriers to the lateral coupling capacitance and enhanceadhesion between copper and its capping material, which interface is known to limit interconnect lifetime.However, if only reliability performances are requested, self-aligned processes can be introduced before die-lectric barrier deposition (hybrid stack) to enhance adhesion between copper and the dielectric barrier. Inthis study, two self-aligned processes are investigated. The CuSiN process consists in incorporating siliconand nitrogen atoms into copper surface. CuSiN process critical parameters have been determined thus en-abling the control of such process. The CoWP/B process is based on the electroless growth of a CoWP/Balloy localized above copper. Barrier property evaluation evidenced that contrary to CuSiN, CoWP/B pro-cess is not efficient to form a barrier against copper diffusion and against oxydation. Therefore, only CuSiNprocesses are suitable for self-aligned barrier formation. CuSiN processes, either in a hybrid stack or as aself-aligned barrier, are fully compatible with integration processes and materials used in this study. In thecase of CoWP/B, two integration steps are critical, etch and post-etch cleaning steps which chemistries reactwith CoWP/B material. We succeed in integrating and in preserving CoWP/B integrity without degradingelectrical performances. In terms of reliability tested within a 65 nm technology node, CuSiN processes im-prove interconnect lifetime up to a factor of 10 whereas the gain using CoWP/B is higher than 70 ×. CuSiNprocess introduction within the 45 nm node would help to reach rapidly its reliability criterion. Interconnectswith CoWP/B cap which exhibit better resistance against very high current densities, are suitable for themost advanced technology nodes to fulfil their reliability criteria.

Key words : Integrated circuit, interconnection, dielectric barriers, self-aligned barriers, silicidation, CuSiN,electroless, CoWP/B, electromigration, reliability, architecture, integration, damascene