27
Projet de cours VHDL-AMS :Un Atout pour la Conception des Systèmes Microélectroniques Analogiques - Numériques. Abdelmajid Iguermia ; Boujemaa Nbahedda Professeur : A. Khouas Département de génie électrique École Polytechnique de Montréal

ELE6306 : Test de systèmes électroniques Projet de cours VHDL-AMS :Un Atout pour la Conception des Systèmes Microélectroniques Analogiques - Numériques

Embed Size (px)

Citation preview

Page 1: ELE6306 : Test de systèmes électroniques Projet de cours VHDL-AMS :Un Atout pour la Conception des Systèmes Microélectroniques Analogiques - Numériques

ELE6306 : Test de systèmes électroniques

Projet de cours

VHDL-AMS :Un Atout pour la Conception des

Systèmes Microélectroniques Analogiques -

Numériques.

Abdelmajid Iguermia ; Boujemaa Nbahedda

Professeur : A. Khouas

Département de génie électrique

École Polytechnique de Montréal

Page 2: ELE6306 : Test de systèmes électroniques Projet de cours VHDL-AMS :Un Atout pour la Conception des Systèmes Microélectroniques Analogiques - Numériques

2

Projet, ELE6306 - 11 avr. 2023 École Polytechnique de Montréal

Plan

1-Introduction. Modélisations:

De Circuits logiques et analogiques.De systèmes complets.

2-Standard VHDL-AMS. Environnement de travail.

Page 3: ELE6306 : Test de systèmes électroniques Projet de cours VHDL-AMS :Un Atout pour la Conception des Systèmes Microélectroniques Analogiques - Numériques

3

Projet, ELE6306 - 11 avr. 2023 École Polytechnique de Montréal

Plan (suite)

3-Organisation d’un Modele VHDL-AMS.

4-Champs d’application.

5-Conclusion.

6- Questions.

Page 4: ELE6306 : Test de systèmes électroniques Projet de cours VHDL-AMS :Un Atout pour la Conception des Systèmes Microélectroniques Analogiques - Numériques

4

Projet, ELE6306 - 11 avr. 2023 École Polytechnique de Montréal

1- INTRODUCTION

VHDL-AMS est un language qui a été

développé comme une extension du langage

VHDL pour permettre la modélisation et la

simulation de circuits et de systèmes

analogiques et mixtes (logiques-analogiques).

Page 5: ELE6306 : Test de systèmes électroniques Projet de cours VHDL-AMS :Un Atout pour la Conception des Systèmes Microélectroniques Analogiques - Numériques

5

Projet, ELE6306 - 11 avr. 2023 École Polytechnique de Montréal

Sub Par 1076.1Sub Par 1076.1

VHDL VHDL IEEE 1076-1987

VHDL IEEE 1076-1993

Renormalisation + retard

Détails de consistance,quelques mots clefs, quelques concepts

Numérique pur

Sub Par 1076.1

VHDL-AMS IEEE 1076-1999

Extension à l ’analogique

Renormalisation + retard

Nouvelle philosophie

Compatible VHDL ’93

Autres efforts : non intégrés

Introduction (suite)

Page 6: ELE6306 : Test de systèmes électroniques Projet de cours VHDL-AMS :Un Atout pour la Conception des Systèmes Microélectroniques Analogiques - Numériques

6

Projet, ELE6306 - 11 avr. 2023 École Polytechnique de Montréal

VHDL-AMS constitue un sur-ensemble de VHDL

Abstraction vs Simulation

Physique Equa.Diff. Silvaco

Électrique Spice / EldoEq.diff/mod. compo.

Switch Equations d ’état ?

Gate OrcadEq. booléenne

Structurel Netlist Dépend des blocs

Signal flow HDLProcess concurrents

Algo Prog.impératif C/pascal/ADA...

Système Pas d ’outil industriel

Processeurscommuniquants

Abstraction Expression Simulateur

Cont./cont.

Cont./cont.

Cont./quantifiés.

Discret/logic (2-9)

idem

Discret/symb.

idem

Symb./symb.

temps/signaux

VH

DL

VH

DL

-AM

S

Page 7: ELE6306 : Test de systèmes électroniques Projet de cours VHDL-AMS :Un Atout pour la Conception des Systèmes Microélectroniques Analogiques - Numériques

7

Projet, ELE6306 - 11 avr. 2023 École Polytechnique de Montréal

Introduction (suite)

• Modélisation de circuits logiques et analogiques,

abstraction

possible grâce à des modèles comportementaux de

complexités variables (des réseaux de Kirchhoff aux

modèles fonctionnels à flot de données).

• Modélisation de systèmes complets : exp. un capteur

avec traitement numérique avec prise en compte de

l’environnement (p. ex. les effets dûs à la

température).

Page 8: ELE6306 : Test de systèmes électroniques Projet de cours VHDL-AMS :Un Atout pour la Conception des Systèmes Microélectroniques Analogiques - Numériques

8

Projet, ELE6306 - 11 avr. 2023 École Polytechnique de Montréal

Introduction (suite)

• VHDL-AMS offre est un support de base pour

la Modélisation de systèmes non électriques

(p. ex. capteurs, actionneurs).

• L’utilité et l’importance de VHDL-AMS

nécessite avoir un standard.!??

Page 9: ELE6306 : Test de systèmes électroniques Projet de cours VHDL-AMS :Un Atout pour la Conception des Systèmes Microélectroniques Analogiques - Numériques

9

Projet, ELE6306 - 11 avr. 2023 École Polytechnique de Montréal

2- Besoin d’un standard

• Le Standard VHDL-AMS est de fournir un outil

de description et de simulation des systèmes

analogiques et mixtes.

• Environnement de travail avec les différentes

phases d’édition, d’analyse, d’élaboration et

d’exécution liées au language.

Page 10: ELE6306 : Test de systèmes électroniques Projet de cours VHDL-AMS :Un Atout pour la Conception des Systèmes Microélectroniques Analogiques - Numériques

10

Projet, ELE6306 - 11 avr. 2023 École Polytechnique de Montréal

Besoin d ’un standard(suite)

Page 11: ELE6306 : Test de systèmes électroniques Projet de cours VHDL-AMS :Un Atout pour la Conception des Systèmes Microélectroniques Analogiques - Numériques

11

Projet, ELE6306 - 11 avr. 2023 École Polytechnique de Montréal

3-Organisation d’un modèle VHDL-AMS

Unités de conception VHDL-AMS (en gris).

Page 12: ELE6306 : Test de systèmes électroniques Projet de cours VHDL-AMS :Un Atout pour la Conception des Systèmes Microélectroniques Analogiques - Numériques

12

Projet, ELE6306 - 11 avr. 2023 École Polytechnique de Montréal

Organisation d’un modèle VHDL-AMS(suite)

-La déclaration d’entité (entity déclaration).

- corps d’architecture (architecture body).

-Entité de conception (design entity).

-Bibliothèque de conception (design library).

-Description structurelle et configuration.

Page 13: ELE6306 : Test de systèmes électroniques Projet de cours VHDL-AMS :Un Atout pour la Conception des Systèmes Microélectroniques Analogiques - Numériques

13

Projet, ELE6306 - 11 avr. 2023 École Polytechnique de Montréal

Organisation d’un modèle VHDL-AMS(suite)

1-La déclaration d’entité (entity déclaration).

Page 14: ELE6306 : Test de systèmes électroniques Projet de cours VHDL-AMS :Un Atout pour la Conception des Systèmes Microélectroniques Analogiques - Numériques

14

Projet, ELE6306 - 11 avr. 2023 École Polytechnique de Montréal

library disciplines;use disciplines.electromagnetic_system.all;terminal T1, T2, T3, T4 : electrical;quantity V1 across I1 through T1 to T2;quantity V2 across I2 through T3; -- le deuxième terminal est la référencequantity V3 across I3 through T3; -- V3 est un synonyme de V2quantity V4 across T3 to T4; -- ne crée pas de branche quantity I4 through T4 ;les déclarations précédentes correspondent au circuit suivant :

Organisation d’un modèle VHDL-AMS(suite)

Page 15: ELE6306 : Test de systèmes électroniques Projet de cours VHDL-AMS :Un Atout pour la Conception des Systèmes Microélectroniques Analogiques - Numériques

15

Projet, ELE6306 - 11 avr. 2023 École Polytechnique de Montréal

Organisation d’un modèle VHDL-AMS(suite)

2-Corps d’architecture (architecture body):

• définit le comportement et/ou la structure du

système modélisé.

Page 16: ELE6306 : Test de systèmes électroniques Projet de cours VHDL-AMS :Un Atout pour la Conception des Systèmes Microélectroniques Analogiques - Numériques

16

Projet, ELE6306 - 11 avr. 2023 École Polytechnique de Montréal

Organisation d’un modèle VHDL-AMS(suite)

3-Entité de conception (design entity):

• Représente une portion d’un système matériel possédant une interface entrée-sortie et une fonction bien définies.

• Représente un système matériel à plusieurs niveaux de complexité: une carte, un circuit intégré, une cellule complexe (p.ex. ALU, mémoire, convertisseur A/N, filtre, amplificateur opérationnel, etc.), une porte logique, ou un transistor.

Page 17: ELE6306 : Test de systèmes électroniques Projet de cours VHDL-AMS :Un Atout pour la Conception des Systèmes Microélectroniques Analogiques - Numériques

17

Projet, ELE6306 - 11 avr. 2023 École Polytechnique de Montréal

Organisation d’un modèle VHDL-AMS(suite)

4-Bibliothèque de conception (design library) :

• Le résultat de la compilation est stocké dans

une bibliothèque de conception

Page 18: ELE6306 : Test de systèmes électroniques Projet de cours VHDL-AMS :Un Atout pour la Conception des Systèmes Microélectroniques Analogiques - Numériques

18

Projet, ELE6306 - 11 avr. 2023 École Polytechnique de Montréal

Organisation d’un modèle VHDL-AMS(suite)

5-Description structurelle et configuration.use : utilisation des bibliothèques

entity : spécification d'entité (vue externe du modèle)

is

generic : paramètres génériques

port : ports de connexion

signal (in/out,inout) : Signaux à événements discrets

QUANTITY (IN/OUT) : quantités analogiques à temps continu utilisées pour les connexions "signal-flow"

TERMINAL : équipotentielle utilisés pour les connexions "Kirchoff"

end entity

architecture : vue interne du modèle

is

signal : déclaration de signaux internes

QUANTITY : déclaration de quantités internes

TERMINAL : déclaration de terminaux internes

begin corps de l'architecture

Instanciation de composants

Instruction concurrente : Process signaux

<= Affectation de signal numériques

Assert test et rapport

BREAK synchronisation des simulateurs

INSTRUCTIONS SIMULTANEES == quantités analogiques

end architecture

Page 19: ELE6306 : Test de systèmes électroniques Projet de cours VHDL-AMS :Un Atout pour la Conception des Systèmes Microélectroniques Analogiques - Numériques

19

Projet, ELE6306 - 11 avr. 2023 École Polytechnique de Montréal

4-Champs d’application

• VHDL-AMS permet de remplir un nombre de

tâches beaucoup plus important en un temps

plus court avec une lisibilité.

Page 20: ELE6306 : Test de systèmes électroniques Projet de cours VHDL-AMS :Un Atout pour la Conception des Systèmes Microélectroniques Analogiques - Numériques

20

Projet, ELE6306 - 11 avr. 2023 École Polytechnique de Montréal

Champs d’application(suite)

Convertisseur Analogique-Numérique.

entity limiter is

end entity;

architecture beh of limiter is

constant vmax : real := 1.0;

constant vmin : real := -1.0;

quantity vin1, vin2, vout1, vout2 : real;

begin

if vin1 > vmax use vout1 == vmax;

elsif vin1 < vmin use vout1 == vmin;

else vout1 == vin1;

end use;

vin1 == 3.0*sin(2.0*math_pi*1.0e7*now);

if vin2'above(vmax) use vout2 == vmax;

elsif not(vin2'above(vmin)) use vout2 == vmin;

else vout2 == vin2;

end use;

vin2 == 3.0*cos(2.0*math_pi*1.0e7*now);

end architecture beh;

Page 21: ELE6306 : Test de systèmes électroniques Projet de cours VHDL-AMS :Un Atout pour la Conception des Systèmes Microélectroniques Analogiques - Numériques

21

Projet, ELE6306 - 11 avr. 2023 École Polytechnique de Montréal

Champs d’application(suite)

Convertisseur Numérique – Analogique

entity D2A is

end entity D2A;

architecture beh of D2A is

constant Vol : real := 0.5;

constant Voh : real := 4.5;

quantity Vramp, Vslew : real;

signal Vin : real := 0.0 ; --Initialisation par défaut à Real_Low

signal Din : bit :='1';

begin

process

begin

wait for 100ns;

Din <= not Din;

end process;

Vin <= Voh when Din = '1' else Vol;

Vramp == Vin'ramp(20.0e-9,10.0e-9);

Vslew == Vin'slew(0.4e9,-1.0e9);

break on Vin;

end architecture beh ;

Page 22: ELE6306 : Test de systèmes électroniques Projet de cours VHDL-AMS :Un Atout pour la Conception des Systèmes Microélectroniques Analogiques - Numériques

22

Projet, ELE6306 - 11 avr. 2023 École Polytechnique de Montréal

Champs d’application (suite) Transformée de Laplace et Z.

library ieee;

use ieee.math_real.all;

entity TB is

end entity;

architecture test of TB is

constant Tech :real :=1.0e-4;

constant Delay : real := 3.0e-5;

constant wo : real := 6.28e3;

quantity xin,xout_zoh,

xout_ltf,xout_ztf : real;

constant numl :

real_vector(1 to 2) :=(1.0,0.0);

constant denl :

real_vector(1 to 2) :=(1.0,1.0/wo);

constant numz :

real_vector(1 to 2) :=(1.0,1.0);

constant denz :

real_vector(1 to 2) :=(1.0+2.0/wo/Tech,1.0-2.0/wo/Tech);

begin

xin == sin(6.28e3*now);

xout_zoh == xin'zoh(Tech,Delay);

xout_ltf == xout_zoh'ltf(numl,denl);

xout_ztf == xin'ztf(numz,denz,Tech,Delay);

end architecture;

1

1

21

21

1)(

zTT

zzH

echoecho

o

ppH

1

1)(

Page 23: ELE6306 : Test de systèmes électroniques Projet de cours VHDL-AMS :Un Atout pour la Conception des Systèmes Microélectroniques Analogiques - Numériques

23

Projet, ELE6306 - 11 avr. 2023 École Polytechnique de Montréal

Champs d’application(suite) sans break

Synchronisation des simulateurs : avec ou sans Break .

library ieee;

use ieee.math_real.all;

entity generator is

end entity;

architecture beh of generator is

constant p : real := 2.0e7;

signal UD : bit := '1';

quantity x : real :=0.0;

begin

process

begin

wait for 50ns;

UD <= not UD;

end process;

--Initialisation du point de repos

if domain = quiescent_domain USE x == 0.0;

--Fonctionnement en régime transitoire

else

if UD = '1' use x'dot == p;

else x'dot == -p;

end use;

end use;

break on UD;

end architecture beh;

Avec Break

Page 24: ELE6306 : Test de systèmes électroniques Projet de cours VHDL-AMS :Un Atout pour la Conception des Systèmes Microélectroniques Analogiques - Numériques

24

Projet, ELE6306 - 11 avr. 2023 École Polytechnique de Montréal

5.Conclusion

Avantages techniques :

• Moderne, Puissant, Général, Bonne lisibilité,

• Haute modularité, Typage fort, Généricité,

Temps solidement défini, mixage

abstractions,

• Fonction de résolution, Ressources nombreuses

• Communauté large,Activités de normalisation

(norme qui bouge est une norme qui vit).

Page 25: ELE6306 : Test de systèmes électroniques Projet de cours VHDL-AMS :Un Atout pour la Conception des Systèmes Microélectroniques Analogiques - Numériques

25

Projet, ELE6306 - 11 avr. 2023 École Polytechnique de Montréal

Conclusion(suite)

Inconvénients :

• Puissant, général = complexe

• Limitations intrinsèques

• Simulation pas efficace en temps pour

l’instant

• Pas de simulateur natif (et outils existants

coûteux)

Page 26: ELE6306 : Test de systèmes électroniques Projet de cours VHDL-AMS :Un Atout pour la Conception des Systèmes Microélectroniques Analogiques - Numériques

26

Projet, ELE6306 - 11 avr. 2023 École Polytechnique de Montréal

Page 27: ELE6306 : Test de systèmes électroniques Projet de cours VHDL-AMS :Un Atout pour la Conception des Systèmes Microélectroniques Analogiques - Numériques

27

Projet, ELE6306 - 11 avr. 2023 École Polytechnique de Montréal

6.Questions