20
Основы вычислительной техники (2 семестр бакалавриата). Курсовая работа Подготовка к курсовой работе 1. Скачать дистрибутив программы Quartus Prime v 16 с сайта Intel PSG. Для этого: - перейти на страницу загрузки Download Center , - выбрать предпочтительные настройки для скачивания (операционная система, способ загрузки), - выделить файлы для скачивания, как показано ниже (в случае выбора менеджера загрузки). Будет загружен основной дистрибутив программы QuartusLiteSetup-16….exe (или Quartus-lite-16- linux.tar), программа для моделирования и пакет поддержки ПЛИС семейств Cyclone IV cyclone- 16....qdz. - загрузить выбранные файлы, выполнив запрашиваемые требования. 2. Установить Quartus Prime и ModelSim, используя предлагаемые по умолчанию настройки и пути. 3. Запустить Quartus Prime.

QuartusLiteSetup-1 exe Quartus-lite-16 linux.tar Cyclone IV cyclone-kspt.icc.spbstu.ru/media/files/2018/ovt_kr_intro_.pdf · 2018. 2. 9. · САПР Quartus II (QII) v13.0 и выше;

  • Upload
    others

  • View
    9

  • Download
    0

Embed Size (px)

Citation preview

Page 1: QuartusLiteSetup-1 exe Quartus-lite-16 linux.tar Cyclone IV cyclone-kspt.icc.spbstu.ru/media/files/2018/ovt_kr_intro_.pdf · 2018. 2. 9. · САПР Quartus II (QII) v13.0 и выше;

Основы вычислительной техники (2 семестр бакалавриата). Курсовая работа

Подготовка к курсовой работе

1. Скачать дистрибутив программы Quartus Prime v 16 с сайта Intel PSG. Для этого:

- перейти на страницу загрузки Download Center,

- выбрать предпочтительные настройки для скачивания (операционная система, способ загрузки),

- выделить файлы для скачивания, как показано ниже (в случае выбора менеджера загрузки). Будет

загружен основной дистрибутив программы QuartusLiteSetup-16….exe (или Quartus-lite-16…-

linux.tar), программа для моделирования и пакет поддержки ПЛИС семейств Cyclone IV cyclone-

16....qdz.

- загрузить выбранные файлы, выполнив запрашиваемые требования.

2. Установить Quartus Prime и ModelSim, используя предлагаемые по умолчанию настройки и пути.

3. Запустить Quartus Prime.

Page 2: QuartusLiteSetup-1 exe Quartus-lite-16 linux.tar Cyclone IV cyclone-kspt.icc.spbstu.ru/media/files/2018/ovt_kr_intro_.pdf · 2018. 2. 9. · САПР Quartus II (QII) v13.0 и выше;

4

Работа 1. Вводная

Цель работы Приобретение навыков, необходимых для самостоятельного выполнения

лабораторных работ по схемотехнике с использованием стенда miniDiLaB.

Объекты изучения/Системные требования САПР Quartus II (QII) v13.0 и выше; стенд miniDiLaB-CIV, содержащий микросхему программируемой логики

(ПЛИС, или СБИС ПЛ, или FPGA) Cyclone IV EP4CE6E22C8N.

Трудоемкость работы 5 часов (2 часа самостоятельной работы и 3 часа в лаборатории).

Приобретаемые знания и навыки знание маршрута проектирования цифровых устройств в QII; умение выполнять основные шаги проектирования в QII: o создание проекта; o графический ввод схемы; o назначение выводов; o компиляция; o моделирование; o конфигурирование ПЛИС;

умение тестировать проект средствами стенда miniDiLaB; умение оформлять результаты исследований.

Необходимая подготовка студентов для выполнения работы

Теоретическая подготовка требует знания: элементарных логических функций; способов записи логических функций; типовых логических элементов; принципов работы ПЛИС; основных этапов проектирования устройств на ПЛИС.

Практическая подготовка требует знания: структуры стенда miniDiLaB

(Z:\Student\Учебные материалы\boards_description\miniDiLaB-CIV_rev1.0); основ работы с QII.

1. Описание используемых в вводной работе элементов стенда miniDiLAB

Стенд miniDiLaB (см. рис. 1.1) включает в себя системное окружение для проведения исследований цифровых устройств и сменную плату расширения с ПЛИС EP4CE6E22C8N. Разрабатываемые и исследуемые в ходе лабораторных работ цифровые устройства реализуются на ПЛИС. Подробное описание стенда miniDiLaB и платы расширения приведено в файлах miniDiLaB-CIV_rev1.0.pdf и 6_PLUGINS.pdf соответственно.

Из системного окружения стенда miniDiLaB при выполнении простых исследований цифровых устройств чаще всего используются кнопки и переключатели (для задания логических сигналов на входах исследуемого устройства) и светодиоды (для отображения логических сигналов с выходов исследуемого устройства). Изображение этих элементов приведено на рис. 1.2 – 1.4 соответственно. В табл. 1.1 – 1.3 указаны

Page 3: QuartusLiteSetup-1 exe Quartus-lite-16 linux.tar Cyclone IV cyclone-kspt.icc.spbstu.ru/media/files/2018/ovt_kr_intro_.pdf · 2018. 2. 9. · САПР Quartus II (QII) v13.0 и выше;

5

номера выводов ПЛИС, к которым подключены эти элементы на стенде MiniDiLaB, а также активные уровни соответствующих логических сигналов.

На самом стенде MiniDiLaB рядом с каждым элементом указано его условное обозначение, а для кнопок и переключателей показано соответствие положения элемента ввода значению логического сигнала. Так, положение «нажата» для любой кнопки соответствует уровню логического сигнала «0», или верхнее положение любого переключателя соответствует уровню логического сигнала «1».

Рис. 1.1. Общий вид стенда MiniDiLaB

Рис. 1.2. Вид кнопок на стенде MiniDiLaB

Таблица 1.1 Подключение ПЛИС к кнопкам на стенде MiniDiLaB

Кнопка Номер вывода ПЛИС Активный уровень сигнала

PBA 58 лог. «0» PBB 64 лог. «0»

Page 4: QuartusLiteSetup-1 exe Quartus-lite-16 linux.tar Cyclone IV cyclone-kspt.icc.spbstu.ru/media/files/2018/ovt_kr_intro_.pdf · 2018. 2. 9. · САПР Quartus II (QII) v13.0 и выше;

6

Рис. 1.3. Вид переключателей на стенде MiniDiLaB

Таблица 1.2

Подключение ПЛИС к переключателям на стенде MiniDiLaB

Переключатель Номер вывода ПЛИС

SW0 24 SW1 25 SW2 46 SW3 49 SW4 91 SW5 90 SW6 89 SW7 88

Рис. 1.4. Вид светодиодов на стенде MiniDiLaB

Таблица 1.3 Подключение ПЛИС к светодиодам на стенде MiniDiLaB

Светодиод Номер вывода ПЛИС Активный уровень сигнала

LED0 72 лог. «0» LED1 71 лог. «0» LED2 70 лог. «0» LED3 69 лог. «0» LED4 68 лог. «0» LED5 67 лог. «0» LED6 66 лог. «0» LED7 65 лог. «0»

Кроме ПЛИС плата расширения PB-II содержит тактовый генератор GXO-7531

(25 MHz). В табл. 1.4 указано соединение вывода тактового генератора с выводом ПЛИС.

Таблица 1.4 Подключение ПЛИС к сигналу с тактового генератора

Имя сигнала Номер вывода ПЛИС

clk_25mhz 23

Page 5: QuartusLiteSetup-1 exe Quartus-lite-16 linux.tar Cyclone IV cyclone-kspt.icc.spbstu.ru/media/files/2018/ovt_kr_intro_.pdf · 2018. 2. 9. · САПР Quartus II (QII) v13.0 и выше;

7

2. Маршрут проектирования цифровых устройств на базе ПЛИС Типовой маршрут проектирования цифровых устройств на базе ПЛИС показан на

рис. 1.5. Он включает в себя следующие этапы: ввод проекта (при выполнении лабораторных работ по схемотехнике

используется схемный ввод – графический ввод схемы); размещение выводов – указание соответствия между выводами на схеме и

физическими выводами микросхемы ПЛИС; Примечание: если размещение выводов не задано пользователем, при компиляции

происходит произвольное назначение выводов. Это приемлемо для моделирования, но не допустимо при реализации проекта на физической модели – ПЛИС на лабораторном стенде.

компиляция проекта – синтез из исходного графического описания схемы низкоуровневых нетлистов, содержащих элементы физической структуры ПЛИС; размещение полученной низкоуровневой схемы на имеющихся ресурсах ПЛИС; создание конфигурационных файлов для программирования ПЛИС – «заливки» проекта в ПЛИС на стенде;

анализ результатов компиляции – определение временных характеристик с помощью временного анализатора и определение аппаратных затрат;

моделирование для контроля функциональности устройства; программирование ПЛИС и исследование характеристик полученного

цифрового устройства – исследование на физической модели, где к разработанному на ПЛИС цифровому устройству в соответствии с назначенными выводами подключены различные элементы системного окружения, размещенные на стенде (индикаторы, кнопки управления, контроллеры различных стандартных интерфейсов).

Рис. 1.5. Маршрут проектирования цифровых устройств на базе ПЛИС

Page 6: QuartusLiteSetup-1 exe Quartus-lite-16 linux.tar Cyclone IV cyclone-kspt.icc.spbstu.ru/media/files/2018/ovt_kr_intro_.pdf · 2018. 2. 9. · САПР Quartus II (QII) v13.0 и выше;

8

3. Основные этапы проектирования в QII В этом разделе приведён пример выполнения всех этапов проектирования для

простого цифрового устройства на базе ПЛИС средствами QII. На рис. 1.6 показана схема устройства и её условное физическое отображение.

Рассматриваемое в примере устройство должно выполнять следующие простые функции: коммутацию сигналов с входов ПЛИС (подключённых к переключателям) на её выходы (подключённые к светодиодам), функцию простого четырёхразрядного счётчика, тактируемого частотой 25 МГц, вычисление функции логического И-НЕ, генерацию сигналов с логическими уровнями «0» и «1».

Рис. 1.6. Условная схема простого цифрового устройства, реализованного средствами стенда MiniDiLaB

Примечание: Счётчик в предложенной схеме – это простое устройство, имеющее один вход clk для синхронизации работы и один четырёхразрядный выход для хранения двоичного значения. По каждому событию на входе синхронизации (каждые 40 нс для частоты 25 МГц) значение на выходах счётчика увеличивается на единицу. Например, для четырёхразрядного счётчика на выходах будет формироваться следующая последовательность двоичных кодов:

«0000», «0001», «0010», «0011», …, «1101», «1110», «1111», «0000», … и т.д. – до тех пор, пока на вход подаётся тактовый сигнал. Такой счётчик можно рассматривать как счётчик-делитель, поскольку на его выходах формируются тактовые сигналы пониженной частоты. Так на первом выходе уровень сигнала будет меняться в два раза реже, на втором – в четыре, на третьем – в восемь и т.д. Таким образом, меняя разрядность счётчика, можно получить сигнал произвольной частоты с коэффициентом деления относительно исходной частоты, равным степени двойки.

Устройство счётчиков в общем случае будет подробно исследовано в лабораторной работе №6.

Используя меню Start/Пуск или иконку на рабочем столе, запустите пакет QII v13.

Page 7: QuartusLiteSetup-1 exe Quartus-lite-16 linux.tar Cyclone IV cyclone-kspt.icc.spbstu.ru/media/files/2018/ovt_kr_intro_.pdf · 2018. 2. 9. · САПР Quartus II (QII) v13.0 и выше;

9

Создание и настройка проекта example_project 1. В меню File менеджера пакета QII, выберите New Project Wizard…. На экране

появится окно введения – Introduction (если оно не было отключено). Нажмите кнопку Next.

2. В появившемся окне Directory, Name, Top-level Entity [page 1 of 5] (рис. 1.7) введите следующие данные: Рабочая папка создаваемого проекта (working directory) – для хранения проектных

файлов. Здесь укажите следующий путь, заменив «Myname» на Вашу фамилию: C:\Student\33501_3\Myname\lab_1\example_project

Совет: Для каждой лабораторной работы создавайте отдельную папку, в которой будут располагаться папки с разным проектами, относящимися к этой работе. Внимание: Каждый новый проект следует хранить в отдельной папке. Это упростит навигацию в Вашей рабочей директории и позволит избежать смешивания файлов, относящихся к разным проектам. Внимание: Не используйте в путях и именах файлов русские буквы и пробелы. Это позволит гарантированно избежать некоторых ошибок при работе с QII.

Имя проекта (name of project): example_project. Имя файла верхнего уровня в иерархии проекта (top-level design entity)

заполниться автоматически и по умолчанию совпадает с именем проекта. В дальнейшем, при необходимости, файл верхнего уровня можно изменить.

Рис. 1.7. Первый шаг создания нового проекта – задание пути рабочей папки проекта, имени проекта и имени файла верхнего уровня

Нажмите кнопку Next. При необходимости подтвердите создание новой папки для проекта.

Page 8: QuartusLiteSetup-1 exe Quartus-lite-16 linux.tar Cyclone IV cyclone-kspt.icc.spbstu.ru/media/files/2018/ovt_kr_intro_.pdf · 2018. 2. 9. · САПР Quartus II (QII) v13.0 и выше;

10

3. Следующее окно Add Files [page 2 of 5] (рис. 1.8) используется для добавления к проекту логических файлов, находящихся в других папках. Используемые логические файлы, расположенные в рабочей папке проекта, на данном этапе не следует добавлять к проекту. Так как логические файлы будут создаваться позднее в процесе работы над проектом, пропустите этот этап, нажав кнопку Next.

Рис. 1.8. Второй шаг создания нового проекта – добавление файлов

4. В следующем окне Family & Device Settings (рис. 1.9) укажите семейство ПЛИС Cyclone IV для реализации проекта и выберите конкретную микросхему (для используемого стенда – EP4CE6E22C8N). Нажмите кнопку Next.

Рис. 1.9. Третий шаг создания нового проекта – выбор ПЛИС

Page 9: QuartusLiteSetup-1 exe Quartus-lite-16 linux.tar Cyclone IV cyclone-kspt.icc.spbstu.ru/media/files/2018/ovt_kr_intro_.pdf · 2018. 2. 9. · САПР Quartus II (QII) v13.0 и выше;

11

5. В следующем окне EDA Tool Settings (рис. 1.10) можно указывать дополнительные (внешние относительно QII) средства автоматизации проектирования. Вам предстоит работать только в рамках QII, поэтому пропустите этот этап, нажав кнопку Next.

Рис. 1.10. Четвёртый шаг создания нового проекта – выбор дополнительных средств проектирования

6. В окне Summary (рис. 1.11) указаны установки, заданные Вами для создаваемого проекта. Проверьте их. Если все правильно, то нажмите кнопку Finish. В противном случае вернитесь назад, нажав (возможно несколько раз) кнопку Back.

Рис. 1.11. Сводка информации при создании нового проекта

Проект создан.

Page 10: QuartusLiteSetup-1 exe Quartus-lite-16 linux.tar Cyclone IV cyclone-kspt.icc.spbstu.ru/media/files/2018/ovt_kr_intro_.pdf · 2018. 2. 9. · САПР Quartus II (QII) v13.0 и выше;

12

Создание проектного логического файла на основе предварительно разработанной схемы 1. Выполните команду: меню FileNew… и в появившемся окне в разделе Design Files

выберите Block Diagram/Schematic File – тип файла для схемного ввода. Нажмите ОК. Появится рабочее поле графического редактора для ввода схемы.

2. Сохраните созданный файл, выполнив команду: меню FileSave As… и нажав кнопку Сохранить. По умолчанию файл сохраняется в папку проекта и имеет установленное ранее при создании проекта имя файла верхнего уровня. Внимание: Не используйте русские буквы и пробелы в именах файлов.

3. Начните графический ввод схемы с добавления элементов ввода и вывода. Внешние сигналы подаются на схему через элементы типа «вход» (элемент ввода, input), а снимаются с элементов «выход» (элемент вывода, output). Элементы ввода, как и элементы вывода, соответствуют физическим выводам иначе пинам ПЛИС – «ножкам» микросхемы. Для добавления на схему элементов ввода сигналов дважды щелкните левой кнопкой мыши в рабочем поле графического редактора так, чтобы появилось окно ввода символов – Symbol (рис. 1.12). В поле Name введите название искомого элемента «input» и установите отметку напротив опции Repeat-insert mode. Элемент ввода будет автоматически найден в поле Libraries и будет показан пример символа элемента. Для начала добавления элемента в схему нажмите ОК. Перемещая мышь в окне графического редактора, выберите место для символа и установите его, нажав левую кнопку мыши. Для добавления ещё одного символа щёлкните левой кнопкой мыши в следующее выбранное место схемы и т.д., пока не будет добавлено желаемое число символов. Несмотря на то, что в соответствии с предварительно разработанной схемой требуется четыре входных сигнала, можно добавить всего только три символа для элементов ввода. Для завершения действия нажмите Esc.

Рис. 1.12. Вид окна ввода символов при добавлении элемента ввода

4. Переименуйте добавленные элементы. Чтобы задать имя вывода, например «pin_name1», дважды щелкните левой кнопкой мыши символ вывода в зоне имени вывода– pin_name1 и напечатайте новое имя «LEFT», либо щелкните дважды на символе вне зоны имени вывода – pin_name1 так, чтобы появилось окно задания параметров вывода Pin Properties и напечатайте новое имя вывода там. Аналогично переименуйте все добавленные элементы ввода. Обратите внимание на имя «SW[1..0]». В этом случае с помощью одного символа в схему добавлено сразу два элемента ввода – в квадратных скобках указаны номера старшего и младшего элементов в массиве элементов.

Page 11: QuartusLiteSetup-1 exe Quartus-lite-16 linux.tar Cyclone IV cyclone-kspt.icc.spbstu.ru/media/files/2018/ovt_kr_intro_.pdf · 2018. 2. 9. · САПР Quartus II (QII) v13.0 и выше;

13

Примечание: Можно создать копию уже имеющегося в схеме элемента. Для этого выделите его символ однократным нажатием левой кнопки мыши и, удерживая кнопку Ctrl, осуществите копирование необходимое количество раз, стараясь размещать символы в желаемых местах окна графического редактора. 5. Аналогично добавьте в схему символ для элементов вывода «LED[2..0]». Полученная

схема должна иметь вид, как на рис. 1.13.

Рис. 1.13 Схема в QII с добавленными элементами ввода/вывода

6. Продолжите ввод схемы, добавив логический элемент И-НЕ. Для этого вновь откройте окно Symbol, раскройте папку primitives>logic и выберите элемент nand2. Нажмите кнопку OK и добавьте символ для выбранного логического элемента на схему. Добавленные в схему символы можно перемещать перетаскиванием мыши в окне графического редактора.

Примечание: Каждому символу элемента при вводе редактором присваивается уникальное имя (inst…). Имя может быть заменено на более содержательное, что полезно для поиска сигналов в процессе работы с проектом. Для этого щелкните правой кнопкой мыши символу элемента и выберите закладку Properties. В открывшемся окне введите требуемые изменения. 7. Завершите добавление основных компонентов в схему, добавив компонент,

реализующий функцию простого четырёхразрядного счётчика. Для добавления счётчика на схему вновь откройте окно Symbol (рис. 1.14), в поле Name введите название элемента – lpm_counter и нажмите ОК.

Рис. 1.14. Вид окна ввода символов при добавлении мегафункции счётчика

8. В открывшемся окне MegaWizard Plug-In Manager (рис. 1.15) установите разрядность создаваемого счётчика равную четырём и завершите редактирование, дважды нажав кнопку Finish. Щелкните левой кнопкой мыши в окне графического редактора для добавления символа счётчика на схему. Полученная схема должна иметь вид, как на рис. 1.16.

Page 12: QuartusLiteSetup-1 exe Quartus-lite-16 linux.tar Cyclone IV cyclone-kspt.icc.spbstu.ru/media/files/2018/ovt_kr_intro_.pdf · 2018. 2. 9. · САПР Quartus II (QII) v13.0 и выше;

14

Рис. 1.15. Вид окна мастера создания мегафункции счётчика

Рис. 1.16. Схема в QII с добавленными функциональными компонентами

Соединение выводов и компонентов Все выводы и компоненты в схеме должны быть соединены между собой в

соответствии с предварительно разработанной схемой (рис. 1.6). Для ввода цепей используйте режим захвата состояния вывода символа, либо

выберите на вертикальной панели инструментов графического редактора иконку (ввод цепи осуществляется при нажатой левой клавише мыши).

Для ввода шин выберите на вертикальной панели инструментов графического

редактора иконку (ввод шины осуществляется при нажатой левой клавише мыши). Для выхода из режима ввода шины (цепи) нажмите ESC.

Также можно создавать цепи и шины в режиме указателя . Для этого наведите курсор мыши на точку начала цепи (на границе символа), чтобы курсор принял такую же форму, как при режиме ввода цепи/шины. После этого можно нажав левую кнопку мыши и потянув курсор можно создать цепь или шину в зависимости от разрядности вывода в точке начала цепи.

Соединение цепей может быть выполнено по именам. Если цепи (цепи и pin) имеют одинаковое имя, то они считаются соединенными. Для задания имени цепи щелкните по ней левой кнопкой мыши и введите имя (или щелкните правой кнопкой мыши, откройте закладку Properties и введите имя). Обратите внимание, что разрядность

Page 13: QuartusLiteSetup-1 exe Quartus-lite-16 linux.tar Cyclone IV cyclone-kspt.icc.spbstu.ru/media/files/2018/ovt_kr_intro_.pdf · 2018. 2. 9. · САПР Quartus II (QII) v13.0 и выше;

15

вывода символа всегда должна совпадать с разрядностью в имени подключённой к нему шины.

Также обратите внимание на имя шины, подключённой к элементу вывода LED[2..0]. В имени шины через запятую перечислены подключённые сигналы в порядке, начиная со старшего разряда.

Дополнительно в схеме реализованы выводы с постоянными сигналами логического нуля и единицы – vcc_out и gnd_out. Эти выводы подключены к соответствующим сигналам с помощью элементов gnd и vcc.

Дополните схему всеми требуемыми соединениями, как показано на рис. 1.17. На этом этапе закончено функционально-логическое описание проекта.

Следующий этап работы над проектом предусматривает верификацию, однако, мы будем проверять проект только на наличие формальных ошибок.

Рис. 1.17. Готовая схема в QII с выполненными соединениями компонентов и выводов

Сохранение схемы и проверка формальных ошибок

1. Сохраните введенную схему, выбрав команду FileSave или нажав кнопку на горизонтальной панели инструментов окна главного менеджера пакета. Сейчас файл является файлом верхнего уровня в иерархии проекта, поэтому процесс компиляции начнётся с него.

2. Для проверки правильности введенной схемы (с точки зрения корректности использования символов и выполненных соединений) запустите процедуру анализа и синтеза, выполнив команду Processing Start Start Analysis & Synthesis.

Запуск также можно выполнить кнопкой на горизонтальной панели инструментов окна главного менеджера пакета.

3. При успешном завершении процедуры анализа и синтеза проекта появится окно с соответствующим сообщением (рис. 1.18). Если же компилятор обнаружит ошибки в схеме, то Вам следует исправить их и повторить анализ и синтез. Информация об ошибках расположена в поле Messages в нижней части окна главного менеджера пакета.

Рис. 1.18. Сообщение об успешном завершении анализа и синтеза проекта

4. Нажмите кнопку OK.

Page 14: QuartusLiteSetup-1 exe Quartus-lite-16 linux.tar Cyclone IV cyclone-kspt.icc.spbstu.ru/media/files/2018/ovt_kr_intro_.pdf · 2018. 2. 9. · САПР Quartus II (QII) v13.0 и выше;

16

После выполнения анализа и синтеза проекта в базе данных QII появились имена сигналов, в том числе и имена выводов. Значит, можно продолжить задание физической спецификации, задав назначения выводов ПЛИС (выбор самой микросхемы выполнен при создании нового проекта ранее). Поскольку физическая реализация выполняется на стенде MiniDiLaB, назначение выводов проекта на ПЛИС должно соответствовать подключениям, выполненным на стенде (см. описание используемых элементов стенда в разделе 1 работы).

Назначение выводов ПЛИС Для назначения выводов (входов и выходов схемы) в окне главного менеджера

пакета QII выполните команду AssignmentsPin Planer или нажмите кнопку на панели инструментов. В появившейся таблице с именами выводов проекта в столбце Location для каждого вывода укажите его размещение на выводе микросхемы в соответствии с разводкой на стенде MiniDiLaB (см. Табл. 1.11.4). Например, для подключения вывода проекта к генератору 25МГц нужно выполнить назначение входа тактирования схемы clk на вывод G22, поскольку генератор на стенде подключен именно к этому выводу. Назначьте все выводы, как показано на рис. 1.19. Закройте окно Pin Planner – установленные назначения будут сохранены.

Рис. 1.19. Назначение выводов ПЛИС в Pin Planner

Все остальные, неиспользуемые в проекте выводы ПЛИС должны быть установлены в высокоимпедансное состояние, чтобы избежать конфликтов сигналов с другими микросхемами, расположенными на стенде. Для этого в окне Device (меню Assignments Device) щелкните кнопку Device and Pin Options… , выберите раздел Unused Pins и в поле Reserve all unused pins установите опцию As input tri-stated with weak pull-up. Вид настроенного окна показан рис. 1.20. Дважды щелкните ОК.

Page 15: QuartusLiteSetup-1 exe Quartus-lite-16 linux.tar Cyclone IV cyclone-kspt.icc.spbstu.ru/media/files/2018/ovt_kr_intro_.pdf · 2018. 2. 9. · САПР Quartus II (QII) v13.0 и выше;

17

Рис. 1.20. Назначение неиспользуемых в проекте выводов в высокоимпедансное состояние

Компиляция проекта

1. Выполнив команду Processing Start Compilation или щелкнув по кнопке на горизонтальной панели инструментов окна главного менеджера пакета, запустите полную компиляцию.

2. Обновятся (см. рис.1.21): Отметки о процессе компиляции в поле Tasks. Окно отчета о результатах компиляции (Compilation Report – Flow Summary). Окно процессора сообщений. Окно сообщения об окончании компиляции (Quartus II). Показ этого окна может

быть настроен в QII. 3. Нажмите кнопку OK. Если есть ошибки, исправьте их и повторите компиляцию

Page 16: QuartusLiteSetup-1 exe Quartus-lite-16 linux.tar Cyclone IV cyclone-kspt.icc.spbstu.ru/media/files/2018/ovt_kr_intro_.pdf · 2018. 2. 9. · САПР Quartus II (QII) v13.0 и выше;

18

Рис. 1.21. Вид главного окна QII после завершения полной компиляции

Рис. 1.22. Вид отчёта о компиляции

Page 17: QuartusLiteSetup-1 exe Quartus-lite-16 linux.tar Cyclone IV cyclone-kspt.icc.spbstu.ru/media/files/2018/ovt_kr_intro_.pdf · 2018. 2. 9. · САПР Quartus II (QII) v13.0 и выше;

19

Получение информации из отчета о компиляции По умолчению QII автоматически открывает окно с отчетом о результатах

компиляции. Если этого не произошло, то щёлкните иконку , расположенную на горизонтальной панели инструментов менеджера пакета и откройте отчет о результатах компиляции (рис. 1.22).

Раздел Flow Summary содержит сводку инфорамации об аппаратных затратах откомпилированного проекта. Видно, что текущий проект занимает незначительную часть площади кристалла. При необходимости подробную информацию об аппаратных затратах следует смотреть в разделе FitterResource Section.

В файле отчета откройте окно результатов временного анализа (TimeQuest Timing Analyzer). Проанализируйте данные отчёта для модели временных задержек Slow 1200mW 85C Model (модель работы в худших условиях) – разделы Fmax Summary и Datasheet Report/Propagation Delay, как показано на рис. 1.23. Частота тактирования устройства на входе clk ограничена величиной 250 Мгц (Restricted Fmax), определяемой допустимой частотой переключений на входе микросхемы для используемого стандарта ввода/вывода. Для приведённого на рис. 1.23 примера худшая задержка прохождения сигнала составляет 9.098 нс – это время от возникновения заднего фронта (Rear edge) сигнала на входе SW[1] до возникновения соответствующего ему переднего фронта на выходе LED[1] (Front edge) (см. последнюю строку таблицы Propagation Delay). Учтите эти значения, выбирая масштаб времени и частоту изменения входных сигналов при моделировании.

Рис. 1.23. Результаты временного анализа

Моделирование проекта Создайте файл example_project.vwf с тестовыми воздействиями для анализа

функциональности реализованного устройства. Для этого в меню File выберите New. В окне New выберите закладку Verification/Debugging Files. Укажите University Program VWF (см. рис. 1.24) и нажмите кнопку OК. Появится окно редактора временных диаграмм.

Page 18: QuartusLiteSetup-1 exe Quartus-lite-16 linux.tar Cyclone IV cyclone-kspt.icc.spbstu.ru/media/files/2018/ovt_kr_intro_.pdf · 2018. 2. 9. · САПР Quartus II (QII) v13.0 и выше;

20

Рис. 1.24. Создание файла временных диаграмм

В меню Edit выберите InsertInsert Node or Bus… (или дважды щелкните левой кнопкой в свободном поле Name окна редактора). В появившемся окне (рис. 1.25) нажмите кнопку Node Finder.

Рис. 1.25. Добавление узлов и шин на временную диаграмму

В окне Node Finder в поле Filter установите Pins: all. Нажмите кнопку List. В поле Nodes Found появятся все найденные в проекте сигналы типа ввода/вывод с именами,

удовлетворяющими маске *, указанной в поле Named. Нажмите кнопку , чтобы скопировать все сигналы в раздел Selected Nodes.

Установите в поле Filter значение Registers: post-fitting. Вновь нажмите кнопку List. Выберите в списке найдённых сигналов сигнал с типом Registered Group – это значения имеющегося в схеме счётчика. Добавьте выбранный сигнал в список Selected Nodes, нажав кнопку .

Нажмите кнопку OK. В следующем окне еще раз нажмите кнопку OK. Введите входные тестовые воздействия (временную диаграмму), приведенные на рис. 1.26. Для этого выделяйте сигналы на требуемых временных интервалах и редактируйте их, используя вертикальную панель инструментов или меню окна Edit редактора временных диаграмм. Для задания тактового сигнала clk 25МГц удобно

использовать инструмент Overwrite clock . Также удобно использовать Count value

для задания значений на шине SW[1..0] (выберите период изменения сигнала исходя из масштаба временной диаграммы и временных задержек в схеме). Масштаб времени для теста выберите исходя из задержки прохождения сигналов в схеме (Propagation delay) и

Page 19: QuartusLiteSetup-1 exe Quartus-lite-16 linux.tar Cyclone IV cyclone-kspt.icc.spbstu.ru/media/files/2018/ovt_kr_intro_.pdf · 2018. 2. 9. · САПР Quartus II (QII) v13.0 и выше;

21

удобства наблюдения результатов. Интервал времени моделирования задается в окне End Time (Edit Set End Time…).

Рис. 1.26. Пример входных воздействий для моделирования работы устройства

Сохраните файл с введенным тестом под именем example_project.vwf. (Команда FileSave As. В появившемся окне введите имя файла example_project.vwf).

Запуск моделирования 1. В меню Simulation выберите Options. Выберите средство моделирования ModelSim,

как показано ниже на рис. 1.27. Нажмите ОК.

Рис. 1.27. Выбор средства моделирования

2. Для запуска моделирования в меню Simulation выберите команду

Run Timing Simulation или нажмите кнопку на панели инструментов. Дождитесь завершения процесса моделирования.

3. Проанализируйте результаты моделирования, показанные в открывшемся окне (рис. 1.28). Симулятор в соответствии с функционально-логическим описанием, полученным в результате компиляции введенной схемы, задержками, вычисленными после размещения и разводки, и заданным при вводе временной диаграммы тестовым воздействием на входах, вычисляет реакцию на выходах схемы.

Обратите внимание на наличие задержки между изменениями входных сигналов и соответствующими изменения выходных. Измерьте полученные в результате задержки. Сравните эти значения с полученными ранее результатами временного анализа. Завершите моделирование, закрыв окна с временными диаграммами.

Page 20: QuartusLiteSetup-1 exe Quartus-lite-16 linux.tar Cyclone IV cyclone-kspt.icc.spbstu.ru/media/files/2018/ovt_kr_intro_.pdf · 2018. 2. 9. · САПР Quartus II (QII) v13.0 и выше;

22

Рис. 1.28. Результаты временного моделирования работы устройства

Программирование ПЛИС и исследование работы устройства на стенде Для выполнения программирования ПЛИС выполните следующие действия. 1. Откройте окно программатора Programmer, выполнив команду

ToolsProgrammer или нажав кнопку на панели инструментов. 2. Включите питание лабораторного стенда. 3. Нажмите кнопку Hardware Setup… и выберите двойным щелчком устройство-

программатор в списке Available hardware items. Значение поля Currently selected hardware теперь содержит имя устройства-программатора (рис. 1.29). Нажмите кнопку Close. Обратите внимание, что поле рядом с кнопкой Hardware Setup… теперь также содержит имя используемого устройства-программатора.

4. Программирование ПЛИС осуществляется через физический интерфейс JTAG. Убедитесь, что кабель от устройства программатора подключен к разъёму JTAG лабораторного стенда. Окно Programmer содержит графическое изображение цепи устройств, подключенных к устройству-программатору. В этой цепи должна быть показана используемая микросхема ПЛИС EP4CE6E22C8N. Также в поле Mode должен быть установлен режим JTAG.