16
CIRCUITS LOGIQUES COMBINATOIRES Chap-IV: Fonctions combinatoires 1 TRABELSI Hichem Université Virtuelle de Tunis CIRCUITS LOGIQUES COMBINATOIRES Fonctions combinatoires TRABELSI Hichem Attention ! Ce produit pédagogique numérisé est la propriété exclusive de l'UVT. Il est strictement interdit de la reproduire à des fins commerciales. Seul le téléchargement ou impression pour un usage personnel (1 copie par utilisateur) est permis.

chap04

Embed Size (px)

DESCRIPTION

CIRCUITS LOGIQUESCOMBINATOIRESAlgèbre de Boole

Citation preview

Page 1: chap04

CIRCUITS LOGIQUES COMBINATOIRES Chap-IV: Fonctions combinatoires

1 TRABELSI Hichem

Université Virtuelle de Tunis

CIRCUITS LOGIQUES COMBINATOIRES

Fonctions combinatoires

TRABELSI Hichem

Attention !

Ce produit pédagogique numérisé est la propriété exclusive de l'UVT. Il est strictement interdit de la reproduire à des fins

commerciales. Seul le téléchargement ou impression pour un usage personnel (1 copie par utilisateur) est permis.

Page 2: chap04

Université Virtuelle de Tunis CIRCUITS LOGIQUES COMBINATOIRES _________________________________________________________________________________________________________________________________

Chap-IV: Fonctions combinatoires

2 TRABELSI Hichem

FONCTIONS COMBINATOIRES Objectif du chapitre

Dans le présent chapitre, nous nous proposons d’étudier plusieurs dispositifs logiques combinatoires relativement complexes, sous forme intégrée, à moyenne échelle (M.S.I), ″Medium Scale Integration″ couramment utilisés dans les systèmes numériques. Parmi les fonctions combinatoires, nous étudierons les composants suivants :

• Codeurs. • Décodeurs. • Transcodeurs. • Multiplexeurs. • Démultiplexeurs. • Comparateurs.

Des exemples d’applications de ces composants sont présentés pour montrer leurs applications dans des circuits numériques pratiques Codeurs - Définition

Un codeur ou encodeur est un circuit logique qui possède 2N voies d’entrée dont une seule est active et N voies de sortie.

Schéma fonctionnel d’un codeur

A titre d’exemple, un tel circuit peut être associé à un clavier; lorsqu’une touche du clavier est enfoncée, un code binaire est alors généré. Pour un clavier à 84 touches (26 lettres minuscules, 26 lettres majuscules, 10 chiffres et 22 caractères divers), il faut donc 7 bits de sortie (27 =128) pour coder ces 84 touches du clavier. On remarque bien que le codage réduit le nombre de variables à traiter. Codeur B.C.D Il s'agit du codeur B.C.D à dix voies d’entrée (les chiffres décimaux), et qui produit en sortie l’équivalent binaire du chiffre décimal appliqué à l’entrée.

E0

E1

EM-1

2N entrées

N sorties

S0

S1

S2

SN-1

CODEUR

Page 3: chap04

Université Virtuelle de Tunis CIRCUITS LOGIQUES COMBINATOIRES _________________________________________________________________________________________________________________________________

Chap-IV: Fonctions combinatoires

TRABELSI Hichem

3

Entrées Sorties Chiffre décimal

E9 E8 E7 E6 E5 E4 E3 E2 E1 S3 S2 S1 S0

0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 1 2 0 0 0 0 0 0 0 1 0 0 0 1 0 3 0 0 0 0 0 0 1 0 0 0 0 1 1 4 0 0 0 0 0 1 0 0 0 0 1 0 0 5 0 0 0 0 1 0 0 0 0 0 1 0 1 6 0 0 0 1 0 0 0 0 0 0 1 1 0 7 0 0 1 0 0 0 0 0 0 0 1 1 1 8 0 1 0 0 0 0 0 0 0 1 0 0 0 9 1 0 0 0 0 0 0 0 0 1 0 0 1

Table de vérité du codeur B.C.D

Les équations logiques associées aux sorties Si se déduisent facilement à partir de la table de vérité ci-dessus.

On a alors : S0 = E1 + E3 + E5 + E7 + E9

S1 = E2 + E3 + E6 + E7 S2 = E4 + E5 + E6 + E7 S3 = E8 + E9

L’implantation du circuit logique du codeur B.C.D est donnée par l’applet.

Ce codeur ne fonctionne convenablement que si une seule entrée est activée à la fois. En effet, si deux entrées sont activées simultanément, le résultat du codage ne correspond à aucune des deux entrées. En effet, si par exemple on porte simultanément à 1 les entrées E2 et E4, on obtient en sortie le nombre binaire 0110, ce qui correspond au code binaire de l’entrée E6. Pour éviter ces erreurs, il faut utiliser un codeur de priorité. Codeur de priorité C’est un dispositif qui réalise le codage du numéro le plus élevé dans le cas où plusieurs entrées seraient actionnées. La table de vérité de ce codeur de priorité est donnée par le tableau suivant :

Entrées Sorties

E9 E8 E7 E6 E5 E4 E3 E2 E1 S3 S2 S1 S0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 0 0 0 1 x 0 0 1 0 0 0 0 0 0 0 1 x x 0 0 1 1 0 0 0 0 0 1 x x x 0 1 0 0 0 0 0 0 1 x x x x 0 1 0 1 0 0 0 1 x x x x x 0 1 1 0 0 0 1 x x x x x x 0 1 1 1 0 1 x x x x x x x 1 0 0 0 1 x x x x x x x x 1 0 0 1

x état indifférent

Table de vérité du codeur de priorité

Page 4: chap04

Université Virtuelle de Tunis CIRCUITS LOGIQUES COMBINATOIRES _________________________________________________________________________________________________________________________________

Chap-IV: Fonctions combinatoires

TRABELSI Hichem

4

A partir de la table de vérité précédente, écrivons les expressions logiques des sorties S3, S2, S1 et S0, en fonction des entrées Ei avec 1 ≤ i ≤ 9.

On a alors :

• S3 = E9 + E 9.E8 = E9 + E8 • S2 = E 9. E 8.E7 + E 9. E 8. E 7.E6 + E 9. E 8. E 7. E 6.E5 + E 9. E 8. E 7. E 6. E 5.E4 = E 9. E 8.(E7 + E 7.E6 + E 7. E 6.E5 + E 7. E 6. E 5.E4)

En utilisant trois fois la relation d’allègement : X + X .Y = X + Y , on obtient :

S2 = E 9. E 8.(E7 + E6 + E5 + E4)

= E 9. E 8.E7 + E 9. E 8.E6 + E 9. E 8.E5 + E 9. E 8.E4

• S1 = E 9. E 8.E7 + E 9. E 8. E 7.E6 + E 9. E 8. E 7. E 6. E 5. E 4.E3

+ E 9. E 8. E 7. E 6. E 5. E 4. E 3.E2

= E 9. E 8.(E7 + E 7.E6 + E 7. E 6. E 5. E 4.E3 + E 7. E 6. E 5. E 4. E 3.E2) En utilisant plusieurs fois la même relation d’allègement, on obtient :

S1 = E 9. E 8.(E7 + E6 + E 5. E 4.E3 + E 5. E 4.E2)

= E 9. E 8.E7 + E 9. E 8.E6 + E 9. E 8. E 5. E 4.E3 + E 9. E 8. E 5. E 4.E2 • S0=E9+ E 9. E 8.E7+ E 9. E 8. E 7. E 6.E5 + E 9. E 8. E 7. E 6. E 5. E 4.E3 + E 9. E 8. E 7. E 6. E 5. E 4. E 3. E 2.E1

De la même façon, on obtient :

S0 = E9 + E 8.E7 + E 8. E 6.E5 + E 8. E 6. E 4.E3 + E 8. E 6. E 4. E 2.E1 Le circuit logique vérifiant les expressions logiques ci-dessus est donné par l’applet.

Codeurs en circuits intégrés A titre d’exemple, on peut citer les circuits intégrés 74147 et 74148

Page 5: chap04

Université Virtuelle de Tunis CIRCUITS LOGIQUES COMBINATOIRES _________________________________________________________________________________________________________________________________

Chap-IV: Fonctions combinatoires

TRABELSI Hichem

5

Décodeurs Définition Un décodeur est un circuit numérique qui possède N entrées et 2N sorties. Pour chacune des combinaisons possibles des entrées, seule une ligne de sortie est validée. Les décodeurs sont souvent dotés d’une ou plusieurs entrées de validation E qui servent à valider son fonctionnement. Le schéma fonctionnel d’un décodeur à N bits d’entrée est donné par la figure suivante :

Schéma fonctionnel d’un décodeur

Synthèse de décodeurs Décodeur 2 vers 4 Avec un décodeur à deux bits d’entrée, on peut avoir quatre combinaisons de sortie. Le décodeur comporte alors deux entrées A, B et quatre sorties S0, S1, S2, S3 validées par exemple à l’état bas. La table de vérité du décodeur est donnée par le tableau suivant :

Entrées Sorties

B

A

S3

S2

S1

S0 0 0 1 1 1 0 0 1 1 1 0 1 1 0 1 0 1 1 1 1 0 1 1 1

Table de vérité d’un décodeur 2 vers 4 actif à l’état bas

On en déduit les expressions des sorties en fonction des entrées :

0S = AB..

1S = AB.

2S = AB.

3S = AB. En utilisant des portes ET à trois entrées on obtient le logigramme du décodeur, comme l’indique l’applet.

E0

E1

EN-1

N entrées

2N sorties

S0

S1

S2

SM-1

DECODEUR

Page 6: chap04

Université Virtuelle de Tunis CIRCUITS LOGIQUES COMBINATOIRES _________________________________________________________________________________________________________________________________

Chap-IV: Fonctions combinatoires

TRABELSI Hichem

6

Décodeur 3 vers 8 Le décodeur 3 vers 8 comporte trois entrées A, B, C et huit sorties S0, S1, S2......S7 validées par exemple à l’état haut. La table de vérité du décodeur est donnée par le tableau suivant :

Entrées Sorties C B A S7 S6 S5 S4 S3 S2 S1 S0 0 0 0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 1 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 0 0 0 0 1 0 0 0 0 1 0 1 0 0 1 0 0 0 0 0 1 1 0 0 1 0 0 0 0 0 0 1 1 1 1 0 0 0 0 0 0 0

Table de vérité d’un décodeur 3 vers 8 actif à l’état haut

On en déduit les expressions de sortie suivantes:

S0 = ABC .. S1 = ABC .. S2 = ABC .. S3 = ABC .. S4 = ABC .. S5 = ABC .. S6 = ABC .. S7 = ABC ..

En utilisant des portes ET à trois entrées et quelques inverseurs, on obtient le logigramme du décodeur. Si une entrée de validation E est désirée, il suffit d'utiliser des portes ET à quatre entrées, pour relier l'entrée E à chacune des portes, comme l’indique l’applet.

Décodeur en circuit intégré : 74138

Le C.I 74138 est un décodeur qui a trois voies d’entrée (A, B, C) donc 23= 8 voies de sortie (décodeur 1 parmi 8). Pour un code d’entrée donné, une seule sortie est vraie au niveau Bas (toutes les autres sont à l’état haut), quand les entrées de validation 1G et 2G sont à la fois à l'état bas et G3 à l’état haut .

Si au moins une des trois entrées de validation n’est pas active, le décodeur n’est pas validé, et toutes les sorties sont à 1 quel que soit le code à l’entrée.

Le tableau ci-dessous donne la table de vérité du décodeur 74138.

Page 7: chap04

Université Virtuelle de Tunis CIRCUITS LOGIQUES COMBINATOIRES _________________________________________________________________________________________________________________________________

Chap-IV: Fonctions combinatoires

TRABELSI Hichem

7

Entrées Sorties

G3

G2

G1

C

B

A

S7

S6

S5

S4

S3

S2

S1

S0 x x 1 x x x 1 1 1 1 1 1 1 1 x 1 x x x x 1 1 1 1 1 1 1 1 0 x x x x x 1 1 1 1 1 1 1 1 1 0 0 0 0 0 1 1 1 1 1 1 1 0 1 0 0 0 0 1 1 1 1 1 1 1 0 1 1 0 0 0 1 0 1 1 1 1 1 0 1 1 1 0 0 0 1 1 1 1 1 1 0 1 1 1 1 0 0 1 0 0 1 1 1 0 1 1 1 1 1 0 0 1 0 1 1 1 0 1 1 1 1 1 1 0 0 1 1 0 1 0 1 1 1 1 1 0 1 0 0 1 1 1 0 1 1 1 1 1 1 1

Table de vérité du décodeur 74138

La vérification du fonctionnement du décodeur 74138 est donnée par l’applet.

Extension de la capacité de décodage Compte tenu du nombre limité de connexions sur un circuit intégré, il est souvent utile de mettre en cascade les décodeurs pour permettre le décodage d’un grand nombre de combinaisons. Grâce aux entrées de validation, on peut augmenter la capacité du système de décodage. En effet, en utilisant à titre d'exemple deux décodeurs 74138, on peut réaliser un décodeur 1 parmi 16, comme le montre l’applet.

On peut encore augmenter d’avantage la capacité de décodage en utilisant un

décodeur 74138 pour la validation des entrées 1G et 2G des différents décodeurs utilisés. On obtient ainsi un décodeur 1 parmi 64, c’est à dire un décodeur qui, à partir d’un nombre binaire à 6 bits, choisit une sortie parmi 64 comme le montre l’applet.

Transcodeurs Définition Un transcodeur est un dispositif qui permet de faire passer une information écrite dans le code C1 à un autre code C2. Les deux plus importantes applications des transcodeurs sont : la conversion de code et l’affichage par segments.

Conversion de code : Transcodeur Gray- binaire Pour passer d’un code à un autre, on utilisera un convertisseur de code. A titre d'illustration nous allons étudier le transcodage du code Gray au code binaire.

Cherchons le circuit d’un transcodeur qui permet de convertir le code Gray à 3 bits par exemple en code binaire. La table de conversion Gray-binaire est donnée par le tableau suivant :

Page 8: chap04

Université Virtuelle de Tunis CIRCUITS LOGIQUES COMBINATOIRES _________________________________________________________________________________________________________________________________

Chap-IV: Fonctions combinatoires

TRABELSI Hichem

8

Gray Binaire G2 G1 G0 B2 B1 B00 0 0 0 0 0 0 0 1 0 0 1 0 1 1 0 1 0 0 1 0 0 1 1 1 1 0 1 0 0 1 1 1 1 0 1 1 0 1 1 1 0 1 0 0 1 1 1

Table de conversion Gray-binaire

On utilisera le diagramme de Karnaugh pour obtenir l’expression logique la

plus simple de B3. On procèdera de la même façon pour déterminer les expressions logiques de B2, B1 et B0.

• Expression de B2 :

On a : B2 = G2 • Expression de B1 :

On a : 1212121 .. GGGGGGB ⊕=+= • Expression de B0 :

On a : B0 = G2 ⊕ G1 ⊕ G0

Le circuit logique du transcodeur Gray-binaire à 3 bits est donné par l’applet.

01.GG

01.GG G1.G0 01.GG

2G 0 0 0 0

2G 1 1 1 1

01.GG

01.GG G1.G0 01.GG

2G 0 0 1 1

2G 1 1

01.GG

01.GG G1.G0 01.GG

2G 0 1 0 1

2G 1 0 1 0

Page 9: chap04

Université Virtuelle de Tunis CIRCUITS LOGIQUES COMBINATOIRES _________________________________________________________________________________________________________________________________

Chap-IV: Fonctions combinatoires

TRABELSI Hichem

9

Transcodeur BCD-7 segments Afficheur 7 segments Un domaine d’application considérable des transcodeurs est celui de la conversion de données binaires en une forme se prêtant à un affichage numérique. Les dix chiffres 0 à 9 sont affichés au moyen d’un dispositif appelé afficheur à 7 segments. Cet afficheur est un ensemble de diodes électroluminescentes (D.E.L) disposés comme le montre la figure ci-dessous. On distingue deux types d’afficheurs : l’afficheur à anodes communes et celui à cathodes communes. Dans le premier cas, toutes les anodes sont reliées à un même point, mis à 5V, de façon à rendre lumineux le segment qui présente sur sa cathode un niveau bas. Dans le cas de l’afficheur à cathodes commune, toutes les cathodes sont reliées un point commun qui doit être à la masse, de façon que pour allumer un segment, il faut lui appliquer un niveau haut sur son anode.

Afficheur à 7 segments

L’applet afficheur 7 segments représente les segments de l’afficheur qui doivent

être allumés pour afficher les dix chiffres décimaux.

Synthèse d’un transcodeur BCD-7 segments Le tableau ci-dessous donne la table de vérité détaillant le fonctionnement du transcodeur BCD-7 segments permettant l’affichage des différents chiffres décimaux. Les variables d’entrée A, B, C, D sont écrites en B.C.D, les variables de sortie a, b, c, d, e, f, g, correspondent à chacun des segments de l’afficheur.

Chiffres D C B A a b c d e f g

0 0 0 0 0 1 1 1 1 1 1 0 1 0 0 0 1 0 1 1 0 0 0 0 2 0 0 1 0 1 1 0 1 1 0 1 3 0 0 1 1 1 1 1 1 0 0 1 4 0 1 0 0 0 1 1 0 0 1 1 5 0 1 0 1 1 0 1 1 0 1 1 6 0 1 1 0 0 0 1 1 1 1 1 7 0 1 1 1 1 1 1 0 0 0 0 8 1 0 0 0 1 1 1 1 1 1 1 9 1 0 0 1 1 1 1 0 0 1 1

Table de vérité du transcodeur B.C.D/7 segments

Afficheur à cathodes communes

a

fbg

ecd

Afficheur à anodes communes

a

fbg

e c

+5V

d

Page 10: chap04

Université Virtuelle de Tunis CIRCUITS LOGIQUES COMBINATOIRES _________________________________________________________________________________________________________________________________

Chap-IV: Fonctions combinatoires

TRABELSI Hichem

10

Pour obtenir les équations logiques de ce transcodeur, il faut établir le diagramme de Karnaugh relatif à l’expression de chaque segment. Le circuit logique du transcodeur se déduit immédiatement à partir de ces expressions simplifiées.

Transcodeur B.C.D - 7 segments en circuits intégrés : MC-144495 Le MC-14495 est un transcodeur très souvent utilisable avec les afficheurs 7 segments. Les sorties de ce transcodeur sont actives à l’état haut, pour cela il faut utiliser des afficheurs 7 segments à cathodes communes (la cathode commune est reliée à la masse). La table de vérité du transcodeur est la suivante :

LT

BI

LE

Fonctionnement 0 x x Les 7 segments sont allumés. 1 0 x Les 7 segments sont éteints. 1 1 1 Verrouillage des 7 segments sur le code d’entrée.1 1 0 Affiche en hexadécimal le code d’entrée.

x état indifférent

Symbole logique et table de vérité du MC-14495. Le câblage de l’afficheur 7 segments au transcodeur MC-14495 est donné par

l’applet.

Multiplexeurs Définition Un multiplexeur (MUX) est un circuit logique qui possède 2N entrées d’informations (Ii), N entrées de sélection (Si) et une sortie unique Z. Sa fonction consiste à effectuer l’aiguillage de l’une des entrées d’information vers la sortie en fonction du code d’adresse appliqué sur les entrées de sélection.

On pourra de plus trouver une entrée de validation E. Si cette broche n’est pas validée, la sortie Z est égale à 1 (ou 0), et ce quelle que soit l’adresse appliquée et le niveau des entrées Ii, par contre quand cette broche est validée, le multiplexeur délivre sur sa sortie Z l’état de l’entrée adressée.

a

b c d e

f g

A B C D

LT

Blanking Input

Lamp Test

MC-14495

BI

LE

Code binaire

Page 11: chap04

Université Virtuelle de Tunis CIRCUITS LOGIQUES COMBINATOIRES _________________________________________________________________________________________________________________________________

Chap-IV: Fonctions combinatoires

TRABELSI Hichem

11

La représentation fonctionnelle du multiplexeur est alors donnée par la figure ci-dessous :

Schéma fonctionnel d’un multiplexeur

Synthèse de multiplexeurs Multiplexeur à deux entrées Un multiplexeur à deux entrées est un circuit logique qui comporte deux entrées I0 et I1, et une seule entrée de sélection S.

La sortie Z est donnée par l’expression suivante :

SISIZ ⋅+⋅= 10

- Quand S=0 alors Z = I0 Ce qui implique que Z est identique au signal d’entrée I0, signal qui peut être au

niveau logique permanent ou variable. - Quand S=1 alors Z = I1 Ce qui montre que la sortie Z est identique à l’entrée I1.

Le schéma logique du multiplexeur à deux entrées est donné par l’applet.

Multiplexeur à quatre entrées Dans ce cas, il y a quatre entrées I0, I1, I2, I3, qui sont transmises à la sortie selon le choix indiqué par l’une des quatre combinaisons possibles des sorties de sélection S1 S0.

L’expression de la sortie Z en fonction des entrées Ii et les codes de sélection est la suivante :

301201101001 ........ ISSISSISSISSZ +++=

Le schéma logique du multiplexeur à quatre entrées est donné par l’applet.

On voit à l’aide des deux exemples précédents que le nombre des portes logiques utilisées et de connexions à réaliser augmenteront si le nombre d’entrée du multiplexeur s’élève. Pour cela les multiplexeurs ayant un pouvoir de multiplexage important se présentent sous forme de circuits intégrés, afin d’avoir une fiabilité meilleure et un coût plus faible que ceux synthétisés à partir d’association de portes logiques intégrées.

I1

I2

IM-1

MUX

S0S1SN-1

Z

.

.

.

.

2N entrées

E VALIDATION

….

Page 12: chap04

Université Virtuelle de Tunis CIRCUITS LOGIQUES COMBINATOIRES _________________________________________________________________________________________________________________________________

Chap-IV: Fonctions combinatoires

TRABELSI Hichem

12

Multiplexeur en circuits intégrés Multiplexeur à huit entrées : 74151 Le circuit intégré 74151 est un multiplexeur à huit entrées. Ce multiplexeur dispose d’une entrée de validation G qui fonctionne ainsi :

Quand G =0 les entrées de sélection A, B et C (équivalentes à S0, S1, S2) choisissent une entrée de donnée (I0 à I7) qui se trouve à la sortie Z.

Quand G =1 le multiplexeur est invalide, de sorte que Z=0, quel que soit le code d’entrée de sélection.

La table de vérité du multiplexeur 74151 est donnée par le tableau ci-dessous :

Entrées SortieG C B A Z 1 x x x 0 0 0 0 0 I0 0 0 0 1 I1 0 0 1 0 I2 0 0 1 1 I3 0 1 0 0 I4 0 1 0 1 I5 0 1 1 0 I6 0 1 1 1 I7

Table de vérité du multiplexeur 74151 Pour vérifier le fonctionnement du Mux 74151, lancer l’applet. Extension de la fonction multiplexage Le nombre limité des broches des circuits intégrés fait qu’on ne peut pas faire le multiplexage d’un nombre élevé de variables d’entrée. Si l’on a affaire au multiplexage d’un grand nombre de données numériques, il faut associer plusieurs boîtiers de multiplexeurs.

A titre d’exemple, on peut obtenir un multiplexeur à 16 entrées à partir de deux multiplexeurs 74151 à 8 entrées. L’applet suivant montre le multiplexage à 16 entrées.

Si on souhaite réaliser le multiplexage de 64 voies d’entrées, on peut considérer

que ces 64 entrées se répartissent en huit groupes de huit entrées réalisées par huit multiplexeurs 74151. L’applet suivant montre le multiplexage de 64 entrées à partir de huit multiplexeurs 74151.

Applications des multiplexeurs Les applications des multiplexeurs dans le domaine des techniques numériques sont variées. Nous citerons les deux applications suivantes: - Génération de fonction logique. - Conversion parallèle-série.

Génération d’une fonction logique Il est possible d’utiliser les multiplexeurs pour matérialiser une fonction logique à partir d’une table de vérité, sans devoir passer par le processus de simplification.

Page 13: chap04

Université Virtuelle de Tunis CIRCUITS LOGIQUES COMBINATOIRES _________________________________________________________________________________________________________________________________

Chap-IV: Fonctions combinatoires

TRABELSI Hichem

13

Quand on utilise un multiplexeur à cette fin, les entrées de sélection reçoivent les variables logiques, et chaque entrée est raccordée en permanence à 0 ou à 1 suivant la fonction à réaliser.

L’exemple de la figure ci-dessous est celui de la génération d’une fonction

logique à trois variables décrite par sa table de vérité. On doit utiliser pour cela un multiplexeur à huit entrées (23).

C B A X 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1

Synthèse d’une fonction logique à l’aide d’un multiplexeur

Exemple

Réaliser la fonction logique ABCABCABCZ .. . . . . ++= à partir d’un multiplexeur à huit entrées (74151). La solution est donnée par l’applet. Conversion parallèle série Quand on veut transférer les données numériques sur de longues distances, il n’est pas souhaitable de les envoyer en parallèle parce que cela exige un grand nombre de files de transmission. C’est la raison pour laquelle ces données sont souvent converties sous forme série avant d’être transmises à un endroit éloigné. Une des façons d’effectuer la conversion parallèle-série consiste à utiliser un multiplexeur. L’applet suivant illustre un exemple de conversion parallèle série d’un mot de 8 bits.

Démultiplexeurs

Définition Un démultiplexeur (DEMUX) est un circuit logique qui possède une seule entrée I et 2N voies de sortie. Il transmet les données d’entrée (données séries) vers une des 2N voies de sortie selon l’adresse du code appliqué sur les N entrées de sélection, il fonctionne comme un commutateur.

Certains démultiplexeurs présentent une entrée de validation E qui permet, quand elle est validée, de transférer les données de l’entrée vers les sorties sélectionnées, et d’imposer zéro ou un à l’ensemble des sorties quand elle n’est pas validée.

Les données qui parviennent en série à l’entrée du démultiplexeur seront fournies en parallèle en sortie

I0

I1

I2

I3

I4

I5

I6

I7 S2 S1 S0

MUX Z

MUX

I0 I1 I2 I3 I4 I5 I6 I7

Z

5V

0V

S0S1S2

A B C

Page 14: chap04

Université Virtuelle de Tunis CIRCUITS LOGIQUES COMBINATOIRES _________________________________________________________________________________________________________________________________

Chap-IV: Fonctions combinatoires

TRABELSI Hichem

14

Schéma fonctionnel d’un démultiplexeur

Exemple de démultiplexeur La fonction démultiplexage peut être réalisée à partir d’un décodeur ayant une entrée de validation. En effet, les entrées A, B, C... du décodeur jouent le rôle d’entrées de sélection pour le démultiplexeur, et l’entrée de validation joue le rôle d’entrée de données I. C’est ainsi qu’un décodeur peut donc servir de démultiplexeur. Pour cette raison les fabricants de circuits intégrés donnent souvent le nom de décodeur/démultiplexeur à ce dispositif.

Nous avons déjà étudié le C.I 74138 dans son rôle de décodeur un parmi huit. La figure ci-dessous nous montre comment nous pouvons l’utiliser comme démultiplexeur.

Décodeur 74138 Fonctionnant comme démultiplexeur Dans le montage de la figure ci-dessus, l’entrée de validation 0G est utilisée

comme l’entrée de donnée I, tandis que 1G et G2 sont validés. Les entrées A, B, C et D jouent le rôle de code de sélection.

Pour illustrer son fonctionnement, supposons que les entrées de sélection sont à 0000. On sait que ce code valide la première sortie O0 et garde toutes les autres au niveau haut. La sortie O0 passe à 0 quand l’entrée 1G passe à 0, et elle passe à 1 quand 1G passe à 1. Autrement dit O0 suit les niveaux appliqués à la borne 1G . Pendant ce temps toutes les autres sorties demeurent à 1. De la même façon, un autre code de sélection valide la sortie correspondante qui suivra les valeurs appliquées à l’entrée I. L’applet suivant montre la réalisation d’un démultiplexeur 8 vers 1 à partir du décodeur 74138.

O1

O2

OM-1

DEMUX

S0S1SN-1

I 2N sorties

E VALIDATION

….

.

.

.

.

A B C D

I G0

G1

O0

O1O2

O15

DECO/DEMUX 74138

G2 5V

Page 15: chap04

Université Virtuelle de Tunis CIRCUITS LOGIQUES COMBINATOIRES _________________________________________________________________________________________________________________________________

Chap-IV: Fonctions combinatoires

TRABELSI Hichem

15

Comparateurs Un comparateur est un circuit dont la fonction est d'indiquer si un nombre binaire est inférieur, égal ou supérieur à un autre nombre binaire.

Comparateur de deux nombres binaires à 1 bit Soient deux nombres binaires à 1 bit ai et bi, le comparateur permet d'affirmer que ai est supérieur (Si), inférieur (Ii) ou égal (Ei) à bi.

Le tableau ci-dessous donne les différentes combinaisons possibles de la comparaison des deux nombres ai et bi.

ai bi Si(>)

Ii(<) Ei(=)

0 0 0 0 1 0 1 0 1 0 1 0 1 0 0 1 1 0 0 1

Comparaison de deux nombres binaires à 1 bit

On a donc : iii baS ⋅=

iii baI ⋅=

iiiiiii bababaE ⊕=⋅+⋅= Le circuit logique est donné par l’applet.

Comparateur de deux nombres binaires à N bits Pour comparer deux nombres binaires de N bits A et B, il faut effectuer une comparaison bit par bit, en commençant par les bits de poids le plus fort, s'ils sont égaux on passe aux bits de poids immédiatement inférieur et ainsi de suite.

Soit à comparer les deux nombres binaires suivants :

A = an an-1.....a1 a0 et B = bn bn-1.....b1 b0

- Détermination si A>B

an > bn → Sn = 1 ou an = bn → En = 1 et an-1 > bn-1 → Sn-1 = 1 ou an = bn → En = 1 et an-1 = bn-1 → En-1 = 1 et an-2 >bn-2 → Sn-2 = 1 . . ou an = bn → En =1 et an-1 = bn-1 → En-1 =1 ..... et a1 = b1 → E1 = 1 et a0 > b0 → S0 = 1 Donc l'expression booléenne de S est:

S= Sn + En.Sn-1 + En.En-1.Sn-2 + ........+ En.En-1...E2.E1.S0

Page 16: chap04

Université Virtuelle de Tunis CIRCUITS LOGIQUES COMBINATOIRES _________________________________________________________________________________________________________________________________

Chap-IV: Fonctions combinatoires

TRABELSI Hichem

16

- Détermination si A<B

En utilisant le même raisonnement que précédemment on a:

I= In + En.In-1 + En.En-1.In-2 + ........+ En.En-1...E2.E1.I0

- Détermination si A=B Il faut que l'on ait: an = bn et an-1 = bn-1 et ........ a0 = b0

A titre d'exemple, le 7485 est un comparateur de deux nombres binaires à 4 bits.

L’applet suivant montre une application du circuit intégré7485 pour comparer deux nombres binaires à 4 bits. Plusieurs comparateurs 7485 peuvent être utilisés pour comparer des nombres à N bits. A titre d’exemple, l’applet suivant montre la comparaison de deux nombres à 8 bits.