11
EN201 - Correction du TD n°2 Bertrand LE GAL Christophe JEGO Yannick BORNAT [ [email protected] ] Filière Electronique - 2 ème année ENSEIRB-MATMECA - Bordeaux INP Talence, France 2021 - 2022

EN201 - Correction du TD n°2

  • Upload
    others

  • View
    16

  • Download
    0

Embed Size (px)

Citation preview

Page 1: EN201 - Correction du TD n°2

EN201 - Correction du TD n°2

Bertrand LE GAL Christophe JEGO Yannick BORNAT

[[email protected]]

Filière Electronique - 2ème année ENSEIRB-MATMECA - Bordeaux INP

Talence, France

2021 - 2022

Page 2: EN201 - Correction du TD n°2

EN201 - Technologie des circuits numériques - les circuits FPGABertrand LE GAL 2021 - 2022

Question n°1 - Synthèse comportementale

2

1

Module EN 201 (les circuits FPGA) TD n°3 – Du VHDL au placement-routage

Un concepteur décrit de façon comportementale un circuit en VHDL comme indiqué ci dessous : ENTITY circuit IS PORT ( A, B, C, RESET, W : IN std_logic; H : IN std_logic; S1, S2 : OUT std_logic); END circuit; ARCHITECTURE Behavioral OF circuit IS SIGNAL S0 : std_logic; BEGIN

S1 <= S0 WHEN W ='1' ELSE A; PROCESS (RESET, H) BEGIN

IF (RESET ='0') THEN S0<='0'; S2<='1'; ELSIF (H'event AND H='1') THEN S0<= NOT ((A AND B) OR C); S2<=A AND B AND C; END IF;

END PROCESS;

END Behavioral; Le but de ce TD est de réaliser les différentes étapes de la synthèse au placement-routage permettant d’aller de la description matérielle comportementale à la génération du bitstream pour un composant du type FPGA. 1) Synthèse comportementale :

Dessinez avec des circuits simples de l’électroniques numériques (portes, multiplexeur, bascule D) le circuit équivalent à la description VHDL de l’entité circuit.

2) Mapping technologique : choix des LUT A partir du schéma réalisé à la question 1 estimez le nombre de LUT à 4 entrées nécessaires à la réalisation des parties combinatoires du circuit. Puis entourez sur le schéma les parties correspondant à chacune des LUT. Donner les tables de vérités des LUT ainsi choisies.

3) Mapping technologique : configuration des LE (Logic Element)

Page 3: EN201 - Correction du TD n°2

EN201 - Technologie des circuits numériques - les circuits FPGABertrand LE GAL 2021 - 2022

Question n°1 - Synthèse comportementale

3

Page 4: EN201 - Correction du TD n°2

EN201 - Technologie des circuits numériques - les circuits FPGABertrand LE GAL 2021 - 2022

Question n°1 - Synthèse comportementale

4

Page 5: EN201 - Correction du TD n°2

EN201 - Technologie des circuits numériques - les circuits FPGABertrand LE GAL 2021 - 2022

Question n°2 - Estimation du nombre de LUTs

5

LUT 1

LUT 2

LUT 3

Page 6: EN201 - Correction du TD n°2

EN201 - Technologie des circuits numériques - les circuits FPGABertrand LE GAL 2021 - 2022

Question n°2 - Tables de vérités des LUTs

6

A B C S2

0 0 0 0

0 0 1 0

0 1 0 0

0 1 1 0

1 0 0 0

1 0 1 0

1 1 0 0

1 1 1 1

A B C S0

0 0 0 1

0 0 1 0

0 1 0 1

0 1 1 0

1 0 0 1

1 0 1 0

1 1 0 0

1 1 1 0

W A S0 S1

0 0 0 0

0 0 1 0

0 1 0 1

0 1 1 1

1 0 0 0

1 0 1 1

1 1 0 0

1 1 1 1

LUT 1 LUT 2 LUT 3

Page 7: EN201 - Correction du TD n°2

EN201 - Technologie des circuits numériques - les circuits FPGABertrand LE GAL 2021 - 2022

Question n°3 - Mapping technologique

7

3

Page 8: EN201 - Correction du TD n°2

EN201 - Technologie des circuits numériques - les circuits FPGABertrand LE GAL 2021 - 2022

Question n°3 - Mapping technologique

8

3

AB

CRESET

1H

10 0

S2

Page 9: EN201 - Correction du TD n°2

EN201 - Technologie des circuits numériques - les circuits FPGABertrand LE GAL 2021 - 2022

Question n°3 - Mapping technologique

9

3

AB

C11H

RESET0 0

S0

Page 10: EN201 - Correction du TD n°2

EN201 - Technologie des circuits numériques - les circuits FPGABertrand LE GAL 2021 - 2022

Question n°3 - Mapping technologique

10

3

A

S0W

S1

Page 11: EN201 - Correction du TD n°2

EN201 - Technologie des circuits numériques - les circuits FPGABertrand LE GAL 2021 - 2022

Question n°4 - Placement des cellules et routage

11

4

data 1data 2data 3data 4

LUT chain

REG chain

clock ena aclr aload

data 1data 2data 3data 4

LUT chain

REG chain

clock ena aclr aload

data 1data 2data 3data 4

LUT chain

REG chain

clock ena aclr aload

data 1data 2data 3data 4

LUT chain

REG chain

clock ena aclr aload

data 1data 2data 3data 4

LUT chain

REG chain

clock ena aclr aload

data 1data 2data 3data 4

LUT chain

REG chain

clock ena aclr aload

data 1data 2data 3data 4

LUT chain

REG chain

clock ena aclr aload

data 1data 2data 3data 4

LUT chain

REG chain

clock ena aclr aload

data 1data 2data 3data 4

LUT chain

REG chain

clock ena aclr aload

data 1data 2data 3data 4

LUT chain

REG chain

clock ena aclr aload

data 1data 2data 3data 4

LUT chain

REG chain

clock ena aclr aload

data 1data 2data 3data 4

LUT chain

REG chain

clock ena aclr aload

data 1data 2data 3data 4

LUT chain

REG chain

clock ena aclr aload

data 1data 2data 3data 4

LUT chain

REG chain

clock ena aclr aload

data 1data 2data 3data 4

LUT chain

REG chain

clock ena aclr aload

data 1data 2data 3data 4

LUT chain

REG chain

clock ena aclr aload