138
1 N° d‟ordre……/Faculté des science/UMBB/2013 REPUBLIQUE ALGERIENNE DEMOCRATIQUE ET POPULAIRE MINISTERE DE L’ENSEIGNEMENT SUPERIEURET DE LA RECHERCHE SCIENTIFIQUE UNIVERSITE M’hamed BOUGURRA Boumerdes FACULTE DES SCIENCES DEPARTEMENT DE PHYSIQUE Thèse de Doctorat Présenté Par : Mohamed Salah BENLATRECHE Filière : Science Physique Option : Semi-Conducteurs Caractérisation des dispositifs électroniques dans les technologies MOS avancées Devant le jury : Pr DJAMEL BENATIA Prof. U Batna Président Dr VICTOR TORTCHINE MCA UMBB Rapporteur Dr FAYÇALRAHMOUNE MCA UMBB Co- Rapporteur Pr TAREKFORTAKI Prof U Batna Examinateur Dr NAHED DOKHANE MCA UMBB Examinateur Dr ABDERRAOUF MESSAI MCA U Constantine 1 Examinateur Année Universitaire : 2012/2013

Thèse de Doctorat Présenté Par : Mohamed Salah …dlibrary.univ-boumerdes.dz:8080/bitstream/123456789/829/1... · DEPARTEMENT DE PHYSIQUE Thèse de Doctorat Présenté Par :

Embed Size (px)

Citation preview

  • 1

    N dordre/Facult des science/UMBB/2013

    REPUBLIQUE ALGERIENNE DEMOCRATIQUE ET POPULAIRE

    MINISTERE DE LENSEIGNEMENT SUPERIEURET DE LA RECHERCHE

    SCIENTIFIQUE

    UNIVERSITE Mhamed BOUGURRA Boumerdes

    FACULTE DES SCIENCES

    DEPARTEMENT DE PHYSIQUE

    Thse de Doctorat Prsent Par :

    Mohamed Salah BENLATRECHE

    Filire : Science Physique

    Option : Semi-Conducteurs

    Caractrisation des dispositifs lectroniques dans les technologies

    MOS avances

    Devant le jury :

    Pr DJAMEL BENATIA Prof. U Batna Prsident

    Dr VICTOR TORTCHINE MCA UMBB Rapporteur

    Dr FAYALRAHMOUNE MCA UMBB Co- Rapporteur

    Pr TAREKFORTAKI Prof U Batna Examinateur

    Dr NAHED DOKHANE MCA UMBB Examinateur

    Dr ABDERRAOUF MESSAI MCA U Constantine 1 Examinateur

    Anne Universitaire : 2012/2013

  • 2

  • 3

    Ddicace

    A la mmoire de mon pre A la mmoire de ma sur

    A la mmoire de madame Meriem Benrabah. A la mmoire de mon amie disparu Zine-eddine dans

    les annes 1990.

    ma mre, Devant tous les sacrifices que tu consenti pour moi, aucune ddicace ne saurait exprimer la

    grandeur des sentiments que j'ai pour toi. mes surs et mon frre

    mes beaux parents mes beaux-frres mes beaux surs

    mon neveu et mes nices. Vous loulou (Zine-eddine) et Anes

    la personne qui ma soutenu dans les moments difficile, et qui maccompagn au cours de ces annes

    dtudes avec patience. toute ma famille.

  • 4

    tous mes amis et mes collgues. Remerciements

    Ce travail a t ralis au laboratoire de LIMOSE,

    luniversit Mohamed Bouguera de Boumerdess.

    Je tiens remercier vivement Monsieur Victor

    Toutchine, Matre de confrence luniversit Mohamed

    Bouguera de Boumerdes, qui a initi et dirig mes travaux

    de recherche avec beaucoup de patience, de pdagogie et de

    bonne humeur.

    Je tmoigne toute ma gratitude Monsieur Rahmoune

    faayl, Matre de Confrence luniversit Mohamed

    Boughera de Boumerdes pour avoir mobilis son temps et sa

    comptence, afin daccepter de co-diriger mon travail.

    Je le remercie aussi pour m'avoir transmis son savoir

    scientifique.

    Je remercie Monsieur Djamel , Benatia, Professeur

    luniversit Lhadj Lakhdar Batna, pour l'honneur qu'il m'a

    fait en acceptant de prsider le jury de soutenance de cette

    thse.

    Jadresse ma profonde reconnaissance Monsieur

    Tarek Fortaki Professeur luniversit Lhadj Lakhdar

    Batna, pour sa participation ce jury.

  • 5

    Je tiens remercier Madame NehadeDokhane, Matre

    de Confrence luniversit Mohamed Bouguera de

    Boumerdes qui a accept de juger ce travail.

    J'exprime toute ma gratitude Abderraouf MESSAI,

    Matre de Confrence luniversit Constantine 1, d'avoir

    accept de faire partie de ce jury et d'examiner ce travail.

    Je tiens galement remercier toutes mes amies:

    Krimo, Moustafa, Kamel, Fayal, Issam, tarek .

    Et mes collgues.

    Je tiens adresser mes plus vifs remerciements M

    Kadi Ali chef dpartement de physique luniversit

    Mohamed Boughera de Boumerdes

    Je remercie Monsieur M Kamel Badari pour ses

    prcieux conseils et ses encouragements.

    Merci ma famille davoir toujours cru en moi ; pour

    m'avoir encourage et soutenue pendant mes tudes, merci

    tous qui mon soutenue de prs ou de loin.

  • 6

    Sommaire

  • 7

    Introduction gnrale...1

    Chapitre I :

    Cadrage thorique des MOS

    1-Introduction 6

    2-La technologie MOS et son volution 6

    2-1. Bref historique 7

    2-2. Le transistor MOS architecture et principes de fonctionnement 8

    2-3. Fonctionnement des transistors MOS 9

    3- grandeurs caractristiques du MOS 10

    4-Dveloppement des MOS et tendance vers les ultra-minces 11

    4-1.les MOS ultra-minces (couche doxyde) 12

    4-2.La structure Mtal Isolant Semi-conducteur 14

    4-3.Pntration du Bore dans la structure du MOS 14

    5-Les diffrents dfauts dans les MOS 15

    5-1. Dfaut dans les MOS (dfaut dinterface Si/SiO2) 15

    5-2. Dfaut dans les MOS au niveau de source et drain 17

    6-Utilit des siliciures dans les MOS 17

    7-Conclusion du chapitre I 19

    8-Rfrence 20

    Chapitre II :

    Technologie et modlisation de processus de ralisation des siliciures

    1-Introduction 24

    2-But de la siliciurisation 24

    3-Les siliciures dans les MOS 24

    4-Cintique de formation des siliciures 25

    4-1. Dfinition thermodynamique 25

    4-1-1.Dfinition thermodynamique 25

    4-1-2.Rappel sur la diffusion en thermodynamique 28

    4-2. Mcanisme de Formation des phases dans les siliciures 33

    4-2-1. La diffusion ractive 33

  • 8

    4-2-2. La germination dans les siliciures 34

    4-2-3. La croissance latrale des germes 35

    4-3. Le processus de croissance des siliciures 36

    4-3-1.Croissance simultane de deux phases 38

    4-3-2.Formation des phases 39

    4-3-3.Simulation pour le systme Ti/Si 41

    4-3-4. Le facteur influant sur le taux de raction 42

    5-Le siliciure de titane 43

    5-1. Le diagramme de phase du Titane-silicium 43

    5-2. Structure cristalline des diffrentes phases du siliciure de Titane 44

    6- Modles de formation des siliciures 48

    6-1. les mcanismes de formation des siliciures 48

    6.1.1- La raction Ti/Si et le Processus de formation des phases 48

    6.1.2- Le Processus de la transformation des phases C49/C54 49

    6-2. Le modle de chaleur effective de formation 52

    7- Conclusion 57

    8- Rfrence 58

    Chapitre III :

    laboration du siliciure et les techniques de caractrisation

    1-Introduction 63

    2- laboration du siliciure 63

    3-Technique de caractrisation 64

    3-1. Spectroscopie de masse des ions secondaires (SIMS) 64

    3-1-1. Principe de lanalyse 64

    3-1-2. Principe de la mthode 65

    3-1-3. Caractristiques gnrales 66

    3-1-4. Appareil utilis ( CAMACA Ims3/4F) 67

    3-1-5. Les limitations du SIMS 72

    3-2.Caractrisation par RBS 73

    3-2-1. Introduction 73

  • 9

    3-2-2. Principe de la mthode 73

    3-2-3. Les facteurs cinmatiques et analyse de masse 74

    3-2-4. Analyse des couches minces 75

    3-2-5. Perte dnergie et lanalyse en profondeur 76

    3-2-6. Analyse des couches minces 77

    4-.Rfrence 80

    Chapitre IV :

    La siliciurisation et processus de formation de siliciure

    1-Introduction 82

    2-La formation de siliciure de Titane 82

    2-1. processus de formation du siliciure de Titane 82

    2-2. Temprature de formation 82

    3-Cintique de formation de siliciure de titane 83

    3-1. Lesstructures Ti/Si 83

    3-2. Les structures TiN/Ti/Si structure encapsules 86

    4-Comportement de lArsenic dans le siliciure de titane 90

    4-1. Effet des doses de dopants sur la cintique de siliciurisation 92

    4-2. Les causes de cette redistribution 93

    4-3. Mcanisme de la redistribution 94

    4-Conclusion 95

    5-Rfrences 96

    Chapitre V

    Mise en uvre du modle EHF pour nos rsultats exprimentaux

    1-Introduction 100

    2-Modles EHF en prsence des impurets 100

    2-1. leffet des impurets 100

    2-1-1. LInfluence des impurets et des dopants sur la formation des siliciures 102

    2-1-2. Linfluence des dopants et des impurets incorpors dans le substrat ou dans

    le film mtallique sur la formation de siliciure de titane

    104

    2-2. principes du modle EHF en prsence des dopants et impurets 107

  • 10

    3-Raction des dopants avec Ti/Si

    3-1. Squence de cette raction sur le modle

    108

    109

    3-2 La mise en quation du modle EHF en prsence des dopants 109

    3-3. Les rsultats 111

    3-4. Lapplication du modle de calcule de E.H.F pour nos rsultats. 114

    4-Conclusion 117

    5-.Rfrence 118

    Chapitre VI

    Caractrisation des rgions source et drain dans les transistors MOS

    1-Introduction 122

    2- Leffet du comportement des dopants sur le fonctionnement du MOS 122

    2-1.Proprits de linterface Si-SiO2 123

    2-2.Comportement lectrique des dfauts 123

    3-Caractrisation fonctionnelles des dopants au niveau de source et drain 124

    3-1.la technique de Pompage de charge 124

    3-1-1.Dfinition 124

    3-1-2. Dveloppements de la technique 125

    3-1-3 Etude des rgions de source et de drain partir du pompage de charges 126

    3-2. technique de Tanner Equilibrium Voltage Step (EVS) 126

    3-2-1. Principe de la technique 127

    3-2-2. Extraction de profil des pigs linterface Si-SiO2 en utilisant le EVS 128

    4-Conclusion 132

    5-Rfrence 133

    Conclusion gnrale.................................135

  • 11

    Introduction gnrale

  • 12

    Introduction gnrale

    Depuis 1965, la loi nonce par Gordon Moore dicte lvolution des technologies de la

    microlectronique, qui suppose le doublement des transistors (circuits intgrs) sur une puce

    tous les 18 mois, ce qui conduit la rduction des dimensions des composants lectroniques,

    pour accrotre continuellement les performances par la ralisation des composants micro-

    lectroniques plus petits, plus rapides et moins chers.

    Les composants lmentaires de ces circuits sont le Transistor Mtal-Oxyde-Semi-

    conducteur Effet de Champ (MOSFET). Lors de ces 30 dernires annes, la taille du

    transistor MOS a t rduite dun facteur 1000. Les transistors de nos jours sont dune

    longueur de grille de 32 nm et la recherche sest tourne vers des dispositifs dont la longueur

    de grille peut atteindre jusqu 6 nm [1].

    Toutefois, au cours de cette course effrne vers la miniaturisation des composants

    lectroniques, les problmes technologiques ne se limitent plus aux seules difficults de

    ralisation. Nous voyons apparatre prsent, des phnomnes parasites remettant en cause

    les avantages offerts par la technologie CMOS (Complementary MOS). Parmi ces

    phnomnes, nous avons notamment les effets de canal court (SCE), labaissement de la

    barrire de potentiel au niveau du drain (DIBL), les phnomnes de nature quantique, le

    courant tunnel de grille, la fluctuation alatoire des dopants, etc [2].

    Pour rduire les effets produits par ces phnomnes, il faut introduire de nouveaux

    matriaux pour la fabrication des futures gnrations de composant lectronique (les filires

    nanolectroniques). Parmi ces matriaux on peut noter essentiellement les siliciures, qui

    servent tablir des contacts dans les structures CMOS grce leurs faibles rsistivits.

    Lutilisation des siliciures permet daugmenter la vitesse de commutation en rduisant

    les rsistances de contact de linterface dans les transistors MOS. Elle est mme envisage

    pour remplacer le poly-silicium de la grille des transistors FUSI. Actuellement, lindustrie de

    microlectronique utilise principalement TiSi2 et NiSi [3].

    Lutilisation des siliciures une chelle nanomtrique exige la comprhension des

    phnomnes thermodynamiques mis en jeux (diffusion, raction, sgrgation, redistribution et

    la cintique de formation des phases). Il est devenu de plus en plus important de comprendre

    le droulement des ractions chimiques et la stabilit dinterface sur des chelles atomiques

    par des modles thermodynamiques.

    Lobjectif de cette thse est lapprofondissement et lamlioration de nos

    connaissances des matriaux utiliss dans les transistors MOS aux niveaux de la source et du

  • 13

    drain, ainsi que la caractrisation des diffrents dfauts engendrs au court de la fabrication

    des transistors MOS. De ce but, nous avons reparti notre travail sur six chapitres, en prcisant

    deux axes importants :

    -La formation et la croissance des siliciures en dveloppant un modle

    thermodynamique pour comprendre leffet des dopants au court de la croissance des siliciures

    dans les rgions source et drain du transistor MOS.

    -La caractrisation des effets des dopants aux niveaux de la source et du drain dans le

    transistor MOS.

    Le premier chapitre est consacr dcrire le transistor MOS, ainsi que le

    fonctionnement et ltat de lart des MOS ultra-minces. Egalement, on montre aussi les

    caractristiques et les dfauts engendrs au court de la fabrication des transistors MOS et

    lutilit des siliciures pour leurs volutions.

    Pour le chapitre II, on va prsenter limportance et les mcanismes de formation des

    siliciures ainsi que les thories thermodynamiques de la diffusion et de la nuclation, ce qui

    permet de situer le premier axe du sujet de cette thse qui est le dveloppement dun modle

    thermodynamique de croissance bas sur la chaleur effective de formation.

    Dans le chapitre III on dcrit llaboration de siliciure et les techniques de

    caractrisation. Nous avons utilis la pulvrisation cathodique pour dposer des couches

    minces mtalliques (titane) sur un substrat de silicium, car cette technique est trs utilise

    dans la microlectronique.

    Pour la caractrisation des phases qui se forment lors de la raction dun film

    mtallique avec le substrat de silicium (dop par larsenic), nous avons utilis deux diffrentes

    techniques de caractrisation : Spectroscopie des ions des masses secondaires (SIMS) et

    Rutherford Back scattering Spectroscopie (RBS). Le SIMS permet de suivre le comportement

    des dopants dans le siliciure. Tandis que, le RBS permet de dterminer lpaisseur et la

    composition des diffrentes couches qui se superposent dans un chantillon (silicium, mtal,

    oxyde, siliciure, etc...).

    Dans le chapitre IV, nous explorons les rsultats exprimentales et nous nous

    intressons la siliciurisation, depuis linter diffusion jusqu la formation de TiSi2.

    Ensuite nous allons tenter de suivre le mouvement du dopant (LArsenic) au cours du

    recuit thermique rapide, notamment au voisinage des tempratures de formation et plus

    haute temprature (quand la siliciurisation est acheve).

    Au chapitre V, lensemble des rsultats obtenus au chapitre IV seront appliqus au

    modle physique Effective Heat of Formation. Avec la diffusion de larsenic qui est stable

  • 14

    lorsquil se trouve en position substitutionnelle et qui ne diffuse pas en tant quune espce

    isole. Pour se dplacer, le dopant (As) sollicite lassistance des dfauts ponctuels, aussi bien

    les lacunes que les auto-interstitiels, avec lesquels ils forment des diffrentes dfauts aux

    niveaux des interfaces de la structure MOS.

    Pour cela, dans le chapitre VI nous avons tudi les diffrentes techniques

    fonctionnelles pour caractriser ce type de dfauts. Lapplication de la technique (Tanner

    Equilibrium Voltage Step (EVS)), nous a permis l'exploration de la concentration des pige

    en oxyde proximit de l'interface Si-SiO2, entre7et17, qui convient caractriser les

    dfauts profonds dans la structure MOS.

  • 15

    Chapitre I Cadrage thorique des MOS

  • 16

    1. Introduction

    Avec lvolution des circuits intgrs base de (CMOS), et lintgration de la

    microlectronique dans tous les domaines, des nouveaux dfis sont parus l'gard de

    lexigence de performance savoir la vitesse et la diminution dnergie dissipe, ce qui nous

    emmne vers la limite de la miniaturisation de MOS ou le maximum du scaling (intgration

    du maximum des transistors sur un minimum despace)[1].

    Pour atteindre cet objectif, il faut changer non seulement les procds dlaboration et

    larchitecture des dispositifs, mais aussi introduire de nouveaux matriaux dans les filires

    microlectroniques[2].

    Dans ce but, lindustrie de la microlectronique essaie plusieurs matriaux en grille, en

    source et en drain des MOS. Lutilisation des siliciures dans la zone des contacts se fait depuis

    longtemps grce leur faible rsistivit et leur haute stabilit thermodynamique.

    Actuellement, plusieurs siliciures sont en comptition pour des composants de

    dimensions infrieures 15 nm (longueur de grille)[2].

    2. La technologie MOS et son volution

    Depuis lapparition en 1954 du transistor MOS, les technologies lies aux semi-

    conducteurs en gnral ont connu une rvolution en termes de fonctionnalits bases surtout

    sur la miniaturisation des dispositifs (Cette miniaturisation est envisage jusqu des

    dimensions de lordre dune dizaine de nanomtres) avec laccroissement de leur complexit.

    Cette amlioration des performances des circuits intgrs ncessite, la fois une

    diminution de la taille caractristique des dispositifs et une augmentation de la densit

    dintgration (scaling), ainsi que le nombre dinterconnections doit considrablement

    augmenter pour des milliards de transistors qui posent aussi de graves problmes : il y a 8

    10 couches de connexions mtalliques les unes sur les autres, mais le temps de transmission

    des signaux sur ces files devient trop grand par rapport la frquence exige par des

    microprocesseurs. Ce qui conduit une consommation considrable dnergie lectrique,

    qui comparable avec la densit de chaleur sur une minuscule puce de microprocesseur qui

    atteint celle dun racteur nuclaire [3].

    Le transistor MOS est le dispositif le plus rpandu dans la production actuelle de

    composant semi-conducteur car il est le composant de base de la technologie CMOS qui

    englobe seule plus de 70% de la production mondiale de circuits intgrs [1].

  • 17

    2-1. Aperu historique sur les transistors MOS

    En 1930, L. Lilienfeld de l'Universit de Leipzig a dpos un brevet dans lequel il a

    dcrit un lment qui ressemble au transistor MOS actuel, mais son apparition ne sera quen

    1954 par Texas Instrument qui a fabriqu le premier transistor en silicium. En 1958, les

    transistors avaient une taille de lordre du cm3, et avec F.Wanalass en 1963, la technologie

    MOS volue vers CMOS (ComplementaryMetalOxide Silicium), et en 1964 le transistor

    atteignait la taille dun grain de sel. Toutefois, les MOS trouvent leur application dans des

    circuits logiques et des circuits radio et vido [4].

    En 1971, Intel a labor le premier microprocesseur, le 4004 , qui possde 2250

    transistors sur une surface de 6 cm2. En 2004, Intel a sorti le Pentium4EE qui possde 169

    millions de transistors sur une puce de surface de 1,12 cm2

    [3].

    Au-del des dispositifs raliss en microlectronique, le transistor MOS joue un rle

    central dans la technologie de silicium. Son large succs est d sa faible consommation

    statique (faible dissipation de puissance), de son potentiel de rduction des dimensions trs

    petites (forte densit) et de ses performances en frquence (rapidit)[4].

    Depuis 30 ans, lindustrie de la microlectronique suit la loi de Moore nonce en

    1965 par Gordon Moore, co-fondateur dIntel, selon laquelle le nombre de transistors se

    double tous les 18 mois. Cette loi est peu prs vrifie depuis 1973 (fig.I-1 ), et pourrait en

    principe rester jusqu 2015 avant que nous ne butons que sur des effets de bruits parasites

    (effets quantiques par exemple)[2].

    Figure I-1:La croissance exponentielle: le nombre de transistors sur puce double dans

    certaine priode chaque les 18 mois Loi de Moore[12]

  • 18

    La dimension critique des dispositifs correspond la largeur de la grille du transistor

    MOS. Aujourdhui, les fabricants de semi-conducteurs commencent produire des transistors

    de largeur 65 nm, et les gnrations suivantes de largeur 45 nm et 32 nm sont en

    dveloppement [5].

    2-2. Architecture des transistors MOS :

    Le transistor MOS (Mtal Oxyde Semi-conducteur) est un condensateur constitu dun

    substrat semi-conducteur (n ou p) spar dune lectrode mtallique (la grille) par une fine

    couche de dilectrique (le plus souvent cest un oxyde SiO2) (Fig. I-2). Les deux extrmits

    (source et drain) de ce condensateur MOS sont des zone dopes diffremment de la zone du

    substrat mais elles sont des parties intgrantes de celui-ci, dont elles diffrent par leurs types

    de conduction, source et drain, (n+oup

    +).

    Figure I-2: Schema dun transistor MOS[3].

    2-3. Fonctionnement des transistors MOS :

    Le principe de fonctionnement du transistor MOS est comparable celui dun robinet

    ou bien dun interrupteur. En effet, Le principe du fonctionnement dun transistor MOS

    repose sur leffet de champ de la grille qui consiste moduler de faon lectrostatique une

    densit de charges mobiles dans un semi-conducteur (le canal). Cette modulation est

    provoque par un champ lectrique perpendiculaire (la grille) la direction de mouvement de

  • 19

    ces charges dans le canal, et agissant entre deux lectrodes spars par un dilectrique,

    comme dans une capacit plane[3-6].

    Ce champ lectrique est gr par une lectrode de commande (la grille) travers une

    couche isolante (le dilectrique de grille). Les charges mises en jeu sont des lectrons dans le

    cas du NMOS et des trous dans le cas du PMOS. Le transport des charges seffectue entre la

    source fournissant les porteurs et le drain qui les collecte sous la forme dun courant.

    travers le long dune couche semi-conductrice (le canal) possdant deux contacts entre la

    source S et le drain D, entre lesquelles est applique une diffrence de potentiel. Les

    rgions source, drain et canal sont des parties intgrantes du substrat dont la source et le drain

    diffrent par leur type de conduction [2].

    Le canal conduit plus ou moins de courant en fonction de son niveau de remplissage

    en charges mobiles. De cet fait, le transistor MOS peut aussi tre une rsistance modulable

    lectriquement et reliant deux contacts (source et drain)[3,4,6].

    3. Grandeurs caractristiques des MOS

    Le fonctionnement du transistor MOS comporte deux rgimes distincts dont la

    sparation est communment dfinie comme le seuil du transistor. Lorsquon applique une

    tension Vg sur llectrode de grille infrieure la tension de seuil Vth, le transistor est en

    rgime sous le seuil ; autrement il est bloqu. Dans le cas o cette tension est suprieure Vth

    le transistor est alors en rgime dinversion ou passant. En ralit, Vth reprsente la Vg

    appliquer pour la dpltion du substrat et crer ainsi la couche dinversion forte (la cration du

    canal). Ce dernier correspondant une concentration de porteurs minoritaires en surface

    (lectrons dans le cas du NMOS) qui est gale la concentration de porteurs majoritaires dans

    le substrat (trous dans le cas du PMOS). La Figure I-3, prsente les schmas dun transistor

    NMOS pour diffrentes valeurs de la tension Vg par rapport Vth[2,5].

  • 20

    Figure I-3 : Schma de la structure dun transistor N MOS enrichissement[6,7].

    (a)- Vg

  • 21

    - Laugmentation de la densit des dispositifs qui permet daugmenter le nombre de

    composants par puces, et donc daugmenter le nombre de fonctions logiques dune puce[5].

    Figure I-4:la loi de Moore qui expose le nombre des transistors par puce correspondant

    lpaisseur de dilectrique loi de Moore jusquaux 2015 [8].

    Les mthodes de scaling sont modifies, amliores, rinventes afin de repousser

    sans cesse les limites de la miniaturisation. Et jusqu' nos jours, la loi de Moore a t

    satisfaisante, quoique dernirement, il semble que la priode de doublement du nombre de

    transistors par circuit augmente lgrement [9]. La figure 1-4 illustre cette volution, d'aprs

    les donnes de l' Internationnal Technology Roadmap for Semiconductors [public.itrs.net].

    Afin damliorer les performances des transistors MOS il faut rduire leurs

    dimensions et augmenter leur vitesse. Pour cela, il est ncessaire de miniaturiser le

    dilectrique (loxyde) et diminuer les rsistances des contacts, par lutilisation des siliciures

    basse rsistance [6,9,10].

    4-1. Les MOS ultra-minces (couche doxyde)

    Les applications de la technologie CMOS requirent un fonctionnement optimal des

    transistors qui se rsume maintenir un courant dbit Ion lev (ce qui garantit une vitesse de

    commutation des portes logiques leves) et un courant de fuiteIoff faible (assurant une faible

    consommation statique)[6,9,10]. Le courant Ion, que nous appelons galement courant de

    saturation qui scrit[6] :

  • 22

    2

    2

    )(,TG

    invonsatD

    VVC

    L

    WI

    I-1

    Do :

    W : Largeur du canal ;

    L : Longueur du canal ;

    : Mobilit des porteurs dans le canal ;

    Cinv : Capacit du dilectrique de grille ;

    Vg : Tension applique la grille ;

    Vt : Tension seuil ;

    Pour augmenter ID,sat , on peut diminuer la longueur du canal ou augmenter la

    capacit du dilectrique de grille. Nous la considrons comme une capacit parallle ignorant

    les effets quantiques Cox qui scrit :

    t

    Ak 0Cox

    I-2

    Ici :

    0 : Permittivit du vide ;

    K :constant dilectrique de lisolant de la grille ;

    tox : Epaisseur du dilectrique de grille ;

    A : superficie de la capacit.

    Ainsi, les deux leviers qui permettent daugmenter la Capacit doxyde se sont :

    1-La rduction de Tox nous rtrcira lpaisseur de loxyde SiO2 qui touche ses limites mme

    avec lutilisation de loxyde de nitrate SiOxNy[11].

    2- Laugmentation de k, par le changement de celui-l avec lintroduction de rcents

    matriaux dilectriques forte permittivit appels matriaux high K [10,11].

  • 23

    Figure I-5: les solutions retenues dans le pass et envisages dans le futur concernant

    laugmentation de la capacit de loxyde de grille [12]

    .

    4-2. La structure Mtal Isolant Semi-conducteur

    Figure I-6 : les importantes rgions du contact mtal isolant semi-conducteur dans un MOS

    [13].

    Pour comprendre cette capacit, il faut voir la structure de la grille, dans les CMOS

    modern (figI-6), elle est compose dun poly-silicium (Si), hautement dop pour augmenter

    la conductivit ; elle peut tre remplace par une grille mtallique. Linterface entre la grille et

    le canal (upper interface) est trs importante lgard de la performance de cette rgion de 5

    qui spare les atomes de la grille celui du dilectrique du canal. Elle peut modifier

    lensemble de la capacit de la grille surtout si elle a une paisseur importante, relativement

    celle du dilectrique. Cette upper interface est destine bloquer la diffusion du Bore vers le

  • 24

    dilectrique. Lower interface est le contact direct avec le canal du MOS, il permet un faible

    pigeage de linterface ; donc il minimise la diffusion des charges ce qui permet une rapide

    mobilit et une augmentation de la performance (la vitesse de commutation)[13].

    4-3. Pntration du Bore et lutilisation du dilectrique SiOxNy et Si-

    N/SiO2 :

    Avec la diminution de lpaisseur de loxyde, la diffusion du Bore, de la grille

    fortement dope vers le canal augmente causant ainsi un accroissement de Vth(threshold

    voltage) qui modifie les proprits du composant[10].Cette diffusion travers loxyde peut

    engendrer une dgradation de la qualit de loxyde et augmente le nombre dimpurets dans le

    canal, diminuant ainsi la mobilit des porteurs dans le canal [13].

    Pour limiter cette diffusion et diminuer le courant de fuite, la solution envisage est

    dintgrer le nitrure sur le dioxyde de silicium par des formations SiOxNy et Si-N/SiO2. Cette

    structure augmente lgrement le k du SiO2 (pure Si3N4 k ~7). Avec une meilleure fiabilit et

    selon lindustrie ROADMPS, cette solution peut avancer le scaling pendant trois autres

    annes [6,13].

    5. Les diffrents dfauts dans les MOS

    5-1. dfaut dinterface Si/SiO2

    Les variations dans les temps des proprits physiques de linterface Si-SiO2 et de

    loxyde de grille SiO2 conditionnent la fiabilit du transistor MOS et donc celle du circuit

    intgr. Cest pourquoi, un intrt particulier a t donn ltude des proprits

    microscopiques de linterface Si-SiO2 et de loxyde de grille[13]. Selon les conditions de

    fabrication et de la technologie utilise, plusieurs types de charges peuvent tre piges dans

    loxyde et linterface Si-SiO2. On distingue (figure I-7) des charges fixes doxyde, des

    charges mobiles doxyde, des charges piges dans loxyde et des charges piges

    linterface[14]. Cest comme le cas des MOSFETs ultracourts.

  • 25

    Figure I-7 : Charges dans loxyde et linterface Si-SiO2[6,14].

    a-Charges fixes doxyde Qf

    Ces charges sont lies aux dfauts de la structure dans la zone de raccordement des

    mailles cristallines de silicium Si et de loxyde de siliciumSiO2 cr pendant les tapes de

    fabrication. La densit de ces charges dpend du processus doxydation thermique

    (temprature, conditions de refroidissement et orientation du silicium). Les charges Qf sont

    gnralement positives, localises prs de linterface proximit de 25 et elles ne sont pas

    influences par les conditions de polarisation lors du fonctionnement de transistor

    MOS[14,16].

    b-Charges mobiles doxyde Qm

    Elles sont dues essentiellement aux impurets ioniques dans loxyde de silicium,

    comme le sodium, le potassium, le lithium. Ces ions alcalins sont induits dans loxyde lors

    des diffrentes tapes technologiques (quelles tapes ?). Ils se dplacent lentement dans

    loxyde et leur mouvement dpend du champ lectrique de la grille et de la temprature de

    circuit intgr (transistor MOS). Une tension positive provoque un dplacement de ces ions

    vers linterface, alors quune tension ngative les attire vers la grille. Un courant d ces

    mouvements est observ. Leffet de cette charge peut tre minimis laide dune technique

    utilisant des couches de masque tel que le nitrure de siliciumNSi[14].

  • 26

    c- Charges piges dans loxyde.

    Les charge pigs dans loxyde sont associes aux dfauts de loxyde de grille SiO2.

    Les piges doxyde sont lectriquement neutres et ils se chargent par linjection dlectrons ou

    de trous dans loxyde. Ce phnomne est dclench par les porteurs chauds, linjection par

    avalanche, les radiations ionisantes ou les courants levs dans loxyde[6,14-16].

    d-Charges piges Qss linterface Si-SiO2

    Les charges piges Qss linterface Si-SiO2 sont dues gnralement la rupture de la

    priodicit du rseau cristallin, au procd doxydation, aux impurets mtalliques ou encore

    des dfauts causs par des rayonnements ionisants ou par des porteurs chauds. La densit

    des dfauts dinterface varie en fonction de linjection des porteurs dans linterface Si-SiO2.

    La densit des charges piges linterface dpend galement des tensions de polarisation.

    Laugmentation de ces charges entraine une diminution de la tension de seuil du transistor et

    une rduction de la mobilit des charges dans le canal, ce qui conduit une dgradation

    importante des performances[6,14-16].

    5-2 Les dfauts au niveau de source et drain :

    Avec la diminution des MOS, la taille de la zone siliciurer sera rduite. Cette

    rduction provoquera plusieurs dfauts tels que :

    -La formation de diffrentes phases (siliciures) dans la zone de contact.

    -Les jonctions devenant ultra-minces et le contrle de la zone de formation du siliciure par

    rapport la position des zones implantes est plus difficile car les variations des phases ne

    sont pas permises[17-19]. Ainsi, les risques de dfaillance par migration du mtal sont

    beaucoup plus importants.

    -linstabilit de larsenic implant dans le substrat silicium gnre normment de dfauts vu

    sa masse et sa taille leve [17-19].

    6. Utilit des siliciures dans les MOS

    Depuis lintroduction des siliciures en 1970 dans la microlectronique, les siliciures

    sont largement utiliss et justifis par leurs proprits lectriques pour raliser les contacts et

    les interconnexions avec la faible rsistivit afin de vhiculer rapidement les

    informations[20].

    Les siliciures prsentent aussi certains avantages comme une grande possibilit de

    miniaturisation et une grande stabilit thermique[21]. De plus, le siliciure est form au niveau

  • 27

    de la grille et des sources/drains permettant damliorer le transport des lectrons du transistor

    aux niveaux des contacte mtalliques suprieurs[1,20].

    Le procd dauto-alignement Salicide (Self-alignedSilicide) a relanc lintrt

    pour ces composs car il permet de former le siliciure slectivement sans passer par

    lutilisation dun masque qui est une tape assez longue. Ce procd peut se rsumer en quatre

    tapes : la figure 1-8illustre les quatre tapes principales quon peut dcrire ainsi :

    tape 1 : Nettoyage par voie chimique du silicium la surface du dispositif ;

    tape 2 : Dpt dune couche de mtal sur toute la surface de la plaquette de silicium ;

    tape 3 : Recuit thermique rapide permettant la formation du siliciure par raction ltat

    solide entre le mtal et le silicium. Seul le mtal en contact avec le silicium ragit, celui en

    contact avec loxyde ne ragit pas ;

    Etape 4 : Attaque slective par acide permettant le retrait du mtal qui na pas ragi car la

    solution choisie est trs ractive avec le mtal mais elle lest trs peu avec le siliciure et

    loxyde de silicium[1].

    Figure 1-8 : Etapes du procd Salicide permettant la fabrication des contacts au niveau de

    la source, du drain et de la grille dun transistor CMOS [1].

    Il est clair que la matrise du procd Salicide ncessite beaucoup de recherche sur

    les interactions mtal/silicium et la redistribution des dopants dans les siliciures et dans le

    silicium[20,23]. Actuellement une vaste gamme de siliciures de mtaux a t value : WSi2,

    MoSi2, TaSi2ainsi que le siliciure de titane (TiSi2), qui permet de former le siliciure sur des

    grilles jusqu 0,20m. La transformation ltat solide (polymorphique) de TiSi2-C49 (forte

    rsistivit) en TiSi2-C54 (faible rsistivit) est limite par la germination[20-23]. Il faut au

  • 28

    moins deux grains de la phase C49 pour former un grain de C54, la germination se faisant aux

    joints de grains. Avec la rduction de zones siliciurer, ce mcanisme devient une

    problmatique [1, 4,5,20 - 24].

    Les tudes rcentes sont centralises sur les proprits des siliciures et sur la

    caractrisation morphologique ou structurale trs fine ( la venir sur un chelle

    nanomtrique)[1]. Pour dcrire les ractions ltat solide lors du recuit thermique ainsi

    quaux conditions dlaboration propres au procd utilis, nous prenons en compte des

    contraintes qui peuvent se dvelopper en cours de synthse.

    La siliciurisation est un phnomne complexe. En effet, la croissance des phases

    dpend du bilan de matires aux interfaces. Ce bilan dpend lui-mme des flux de plusieurs

    espces[25]. Cette tape de la siliciurisation demande une matrise de la formation des phases,

    de leurs cintiques et des phnomnes mis en jeu, pour fournir une rponse globale et

    prvisionnelle de la ralisation des transistors avec un nouveau matriau [24,25].

    7. Conclusion :

    Lvolution actuelle en micro et nanolectronique consiste diminuer le plus possible

    la taille des parties actives dun systme, jusqu lchelle nanomtrique. Cette opration

    engendre plusieurs dfauts au sein du transistor MOS. Dans ce chapitre, nous avons dcrit ces

    dfauts, soit dans la grille soit dans la source et le drain. Ces deux parties sont fabriques

    actuellement par les siliciures.

    Avec la diminution des MOS, la taille de la zone siliciurer sera rduite. Ce qui

    provoquera la formation de diffrentes phases (siliciures) dans la zone de contact et les

    jonctions devenant ultra-minces ce qui rend le contrle de la zone siliciurer plus difficile.

    Le fait de se trouver lchelle nanomtrique peut influencer les tapes de germination

    et de croissance des phases qui sera dvelopp dans le chapitre II.

  • 29

    8. Rfrence

    [1]-Khalid HOUMMADA , Etude De La Redistribution Des Dopants Et Des lments

    dAlliages Lors De La Formation Des Siliciures , thse de luniversit Paul Cezanne,

    2007.

    [2]-Seong-Dong Kim, Jeffrey B. Johnson, Jun Yuan, and Jason C.S. WooOptimization of

    Recessed and Elevated Silicide Source/Drain Contact Structure Using Physical Compact

    Resistance Modeling and Simulation in Ultra-Thin Body SOI MOSFETs IBM Systems &

    Technology Group, Essex Junction, VT 05452, USA 2008

    [3]-Loeizig EHOUARNE Mtallisation des mmoires Flash base de NiSi et dlments

    dalliages thse de luniversit Paul Cezanne, Aix-MARSEILLE III2008.

    [4]-M.Nicols.Breil contribution ltude de techniques de siliciuration avances pour

    les technologies CMOS dca nanomtriques thse de luniversit de science et

    technologie de Lille2009.

    [5]-Bruno IMBERT Etude de la formation du siliciure de nickel-platine intgr dans la

    fabrication de transistors CMOS pour les technologies 65 et 45 nm thse de lInstitut

    Polytechnique de Grenoble 2009.

    [6]-Anne LE GOUIL tude et dveloppement de procds de gravure plasma pour

    llaboration des grilles mtalliques pour les filires technologiques CMOS : Cas de

    lempilement Si/TiN/HfO2 thse de luniversit Joseph Fourier de Grenoble 2006.

    [7]-Guillaume MAZALEYRAT Modlisation multi chelles de la croissance des oxydes

    fortes permittivits simulation Monte-Carlo cintique thse de l'Universit Paul

    Sabatier Toulouse III2008.

    [8]- Internationnal Technology Roadmap for Semiconductors [public.itrs.net] 2012.

    [9]-Mangelinck D., Dai J. Y., Pan J., and Lahiri S. K. Enhancement of thermal stability

    of NiSi films on (100)Si and (111)Si by Pt addition Applied Physics Letters vol. 75, p

    1736,1999.

    [10]-Z. Zhang, S. Zhang, D. Zhu, H.Xu, et Y. Chen formation of C54 of TiSi2 on Si (100)

    using Ti/Mo and Mo/Ti bilayersapplied physics volume16, issue 01n02, 20 January 2002.

    [11]-Stephen Hall, Octavian Buiu, Ivona Z. Mitrovic, Yi Lu, and William M. Davey

    Review and perspective of high-k dielectrics on silicon journal of telcomenication and

    information technology Vol. 2-498-2007.

    [12]-I.Z. Mitrovic, O. Buiu, S. Hall, C. Bungey, T. Wagner, W. Davey,and Y. Lu,

    Electrical and structural properties of hafnium silicate thin films, Microelectron.

    Reliab., vol. 47, pp. 645648, 2007.

  • 30

    [13]- S. Christenson, I. Lundstrom and C. Svensson, Solid-St. Electron. 11,797 (1968).

    [14]- F. Rahmoune, Contribution letude des defauts de linterface Silicium/Isolant

    dans les transistors MOS avances .thse de lInstitut Polytechnique de Grenoble, 2004.

    [15]-M. V. Fischettia) and S. E. Laux Long-range Coulomb interactions in small Si

    devices. Part I: Performance and reliability journal of applied physics Volume

    89,Numbre 2 15 January 2001.

    [16]-Yves MANEGLIA Analyse en profondeur des dfaut de linterface Si-SiO2 par la

    techenique de pompage de charges thse de lInstitut Polytechnique de Grenoble 1998.

    [17]-Shiyang Zhu, Jingde Chen, M.-F. Li, S. J. Lee, Jagar Singh, C. X. Zhu, Anyan Du, C.

    H. Tung, Albert Chin, Senior, and D. L. Kwong, N-Type Schottky Barrier Source/Drain

    MOSFET Using Ytterbium Silicide IEEE Electron Device Latters, VOL. 25, NO. 8,

    August 2004.

    [18]- A,saulnier, J,P,Paupan, A.Grab, J.J.GRab, R. stuck. Impurity redistribution during

    TiSi2 formation by Rapid thermal annealing.UA ,du CNRS n0 236, 2006.

    [19]-L. A. ClevengerR. W. MannR. A. Roy, K. L. Saenger, and C. Cabral, Jr. J. Piccirillo

    Study of C49-TiSi2 and 654~TiSi2 formation on doped polycrystalline silicon using in

    sifu resistance measurements during annealing IBM Microelectronics, J. Appl. Phys. 76

    (12), 15 December 1994.

    [20]-L. A. ClevengerR. W. MannR. A. Roy, K. L. Saenger, and C. Cabral, Jr. J. Piccirillo

    Study of C49-TiSi2 and 654~TiSi2 formation on doped polycrystalline silicon using in

    sifu resistance measurements during annealing IBM Microelectronics, J. Appl. Phys. 76

    (12), 15 December 1994.

    [21]-B. UMAPATHI, S. K. LAHIRI, and S. KAL Characterization of titanium polycide

    films by atomic force microscope JOURNAL OF MATERIALS SCIENCE:

    MATERIALS IN ELECTRONICS 10 (1999)

    [22]- Shiyang Zhu, Jingde Chen, M.-F. Li, S. J. Lee, Jagar Singh, C. X. Zhu, Anyan Du,

    C. H. Tung, Albert Chin, Senior, and D. L. Kwong, N-Type Schottky Barrier

    Source/Drain MOSFET Using Ytterbium Silicide IEEE ELECTRON DEVICE

    LETTERS, VOL. 25, NO. 8, AUGUST 2004

    [23]- W. Kaplan " , A. Mouroux b, S.-L. Zhang b, C.S. Petersson b A self-aligned silicide

    technology with the Mo/Ti bilayer system Microelectronic Engineering 37/38 461-466

    (1997)

    [24]- Karen Maex Silicide for integrated circuit :TiSi2 and CaSi2 Materials Science

    and Enginering,R1153-153 (1993).

  • 31

    [25]-M.S.Benlatreche, M. Berrabah, F. Rahmoune, V. Tourtchine J.J. Charlot An

    Experimental Investigation of The Effect of The Arsenic on The Formation of The

    Titanium Silicides in Metal/Silicon Structures 13th International Conference on the

    Formation of Semiconductor Interfaces, Praha, Czech Republic 2011.

  • 32

    Chapitre II

    Technologie et modlisation de

    processus de ralisation des siliciures

  • 33

    1. Introduction

    Lobjectif de ce chapitre est de dcrire limportance des siliciures et leurs mcanismes

    de formation ainsi que les thories de la diffusion et de la nuclation. Cela nous permettons de

    situer le premier axe de cette thse qui est : le dveloppement dun modle thermodynamique

    de croissance bas sur la chaleur effective de formation des phases.

    2. But de la siliciurisation

    La premire raison dutiliser le siliciure cest la rduction de la rsistance des contacts

    au niveau de source et de drain. Lamlioration des performances des circuits intgrs, qui

    ncessite la fois une diminution de la taille des CMOS et une augmentation de la densit

    dintgration (scaling), conduit laugmentation du nombre dinterconnections pour

    permettre de relier un nombre important des transistors. Pour se faire, le siliciure est le

    matriau le plus apte utiliser pour ses proprits thermodynamiques et lectriques [1,2].

    3. Technologies des siliciures

    Le siliciure est form par le procd SALICIDE (Self-Aligned Silicide) qui permet de

    siliciure simultanment les sources/drains et les grilles sans tape additionnelle de

    lithographie [3].

    Le principe de la siliciurisation rside dans la mtallisation de la grille et des

    extensions (sources et drains) par des ractions chimiques sous un budget thermique

    consquent du silicium et du mtal figure I-8.

    La constante de temps RC correspond au temps de propagation du signal le long des

    lignes de grille. Aujourdhui, Le siliciure de titane TiSi2, qui est le plus utilis, prsente une

    rsistance de 2 4 /carr (rsistivit de 0.13 0.16 m) [4,5].La constante de temps est

    un paramtre qui dtermine le temps de rponse des circuits Figure (II-1).

    On la dfinit par :

    = II-1

    O R est la rsistance effective totale, et Cest la capacit de la grille et des interconnexions.

    Plus la constante est leve plus le temps de raction du dispositif est lent. Lors de la

    rduction dchelle des profondeurs de jonction et de longueur de grille, la rsistance effective

    totale devient un facteur cl car elle augmente considrablement. Pour pallier ce problme,

    un siliciure est un compos thermodynamiquement stable, alliant mtal et silicium, est

  • 34

    introduit une rduction la rsistance (RC) des zones contactes (les sources/drains en silicium

    et/ou la grille en polysilicium).

    Figure II-1 : schma quivalant des rsistance srie du module de jonction dun transistor

    MOS[3]

    La Figure II-1, reprsente schmatiquement les rsistances en srie effectives dans un

    transistor. La rsistance R est reprsente en fonction des diffrentes rsistances ayant un

    impact sur les performances du transistor :

    = + + II-2

    Avec :

    RC : la rsistance de linterface siliciure (ou mtal) /silicium ;

    Rdiff : la rsistance entre la zone du contact et lespacer ;

    Rint : la rsistance intrieure des rgions des sources et drains.

    4. Cintique de formation des siliciures

    4-1. Rappel sur la germination

    4-1-1.Dfinition thermodynamique

    La germination est la premire tape pour la formation ou la transformation des phases

    dans nimporte quelle solution solide. Elle dfinit le gain dnergie pour tablir un

    arrangement des atomes, cest-- dire la formation dune phase quelconque. Ce gain doit tre

    suprieur ou au moins gal lnergie de la cration dune interface supplmentaire [4]. Les

    rgions o ces modifications se produisent sont de faible tendue, leurs existences sont

  • 35

    courtes, elles disparaissent et elles sont remplaces par dautres germes dans diffrents

    endroits : elles sont appeles embryons . Les embryons qui ont une taille suffisante, une

    structure et une concentration convenable aux conditions thermodynamique deviendront des

    germes de la nouvelle phase et les autres embryons disparatront [4].

    Il existe deux types de germination : homogne et htrogne

    La germination homogne se fait de manire alatoire dans le systme qui va

    subir la transformation. Ceci nest possible que si le systme est chimiquement

    homogne et exempt de dfauts.

    la germination htrogne : les solides contiennent des imperfections

    (prcipits dimpurets insolubles, dfauts linaires et de surface). Ces

    dfauts sont des sites prfrentiels de germination [3,5].

    Le processus de germination est contrl par plusieurs paramtres (temprature,

    concentration, pression ..etc). Le rayon de lembryon et lnergie libre du system sont les

    deux facteurs principaux qui grent tout changement [6-8].

    La cration de germes dune nouvelle phase va dpendre de la variation dnergie

    libre du systme. Elle implique lapparition dune surface dlimitant un certain volume de la

    phase stable en formation. La diminution de lnergie libre totale (G) du systme permet la

    formation de germes. Diffrentes nergies vont entrer en comptition dans la variation

    dnergie libre totale [4,8] :

    G = VGv + VGs + A II-3

    O :

    -V Gv :le gain en nergie libre volumique d la cration dun volume V de la phase ;

    - V Gs : lnergie de dformation ; cest une nergie mcanique qui accrot lnergie critique

    de germination. Il est ncessaire de tenir compte des contraintes exerces par la phase mre

    dans lexpression de la variation dnergie libre accompagnant la formation de lembryon.

    Si on suppose que les nergies dinterface sont isotropes. La cration de nouvelles

    interfaces daire A se traduit par une augmentation de lnergie libre par G=A o est

    lnergie interfaciale.

    Si on nglige la variation de croissance avec les orientations des interfaces et quon

    suppose que les germes ont une forme sphrique avec un rayon de courbure r, la variation de

    lnergie libre totale devient [6]:

    = 43

    3 + 42 II-4

  • 36

    Figure II-3 : Variation de l'nergie libre en fonction du rayonr du germe dans le cas d'une

    germination homogne. Les contributions de surface et de volume sont galement

    reprsentes.

    G*(r*) : reprsente la valeur maximale de la variation denthalpie.

    G: cest la barrire de germination qui correspond un rayon critique. La drivation de

    lquation II-4 permet de dduire le rayon critique du germe et aussi lnergie

    dactivationG.

    = 0 =

    2

    II-5

    Donc :

    =163

    3 2 II-6

    Les germes ayant un rayon suprieur vont continuer croitre pour former des

    phases des diffrentes couches, et ceux qui ont des rayons infrieurs seront dissocis et

    alimentes les germes en cours de croissance [4].

    Ce mcanisme (germination) permet d'expliquer l'absence de phases prvues par le

    diagramme de phase dans la squence de formation. En gnral, les premires phases ne

    rencontrent pas de difficult de germination car le gain d'nergie libre est trs lev. Mais au

    fur et mesure des formations successives, le systme se rapproche vers l'quilibre. Dans ce

    cas le gain d'nergie libre devient de plus en plus faible par consquence le terme d'nergie

  • 37

    de surface devient prpondrant. La barrire nergtique devient alors trop grande franchir

    par dautres germes [7,8].

    4-1-2.Rappel sur la diffusion en thermodynamique

    La diffusion est une migration, des atomes, des molcules dans les matriaux solides

    ou liquides qui dterminent la cintique dun grand nombre de transformation de phases,

    activ thermiquement lintrieur dun systme. Ce dplacement d'atomes dans un rseau

    cristallin (migration) se produit grce aux sauts successifs des atomes dont la longueur est au

    moins gale une distance interatomique [4]. Ainsi, cette migration des atomes nest possible

    que par lexistence de dfauts cristallines ponctuels (lacunes, sites interstitiels) ou tendus

    (dislocations, joints de grains)[5].

    On peut envisager plusieurs modes de diffusion:

    -la diffusion en volume qui se fait travers le cristal ;

    -la diffusion inter-granulaire, le long des joints et sous-joints de grains ;

    -la diffusion dans les dislocations ;

    -la diffusion la surface du matriau ;

    -La diffusion la surface des fissures.

    Les principaux mcanismes de la migration des atomes dans les matriaux comme les

    intermtalliques sont :

    a-Mcanisme lacunaire : dans un matriau, il existe un certain nombre de sites non

    occups par les atomes (appels lacunes). Ces sites vacants vont permettre un dplacement

    plus au moins rapide selon la nature de latome qui migre et la concentration des lacunes.

    Cest le mcanisme le plus frquent qui contrle lautodiffusion dans les mtaux purs [7,9].

    b-Mcanisme interstitiel direct : latome migre dans le rseau en sautant dun site

    interstitiel un autre. Ce mcanisme concerne principalement les lments de petite taille qui

    se dissolvent en position interstitielle (H, C,N pour les mtaux). Ce mcanisme de diffusion

    permet un dplacement trs rapide des atomes puisquil nest pas limit par un nombre de

    dfauts utilisables [5,6].

    -Equation de Fick.

    Dans une phase lexistence dun gradient de concentration permet la mobilit des

    atomes ou des molcules entrane un flux de matire dans la direction du gradient, apprit afin

    de diminution de lenthalpie libre du systme et galiser les concentrations [4].

    Un atome situ sur un site stable vibre autour de sa position dquilibre avec une

    frquence 0 (frquence de Debye), lamplitude de ces vibrations est en fonction de la

    temprature (kT). Si la temprature est suffisante, latome aura alors une amplitude suffisante

  • 38

    pour franchir la barrire dnergie Gm(cette valeur reprsente lenthalpie libre de migration

    dun atome ou dune lacune).

    La premire loi de Fick exprime la relation entre le potentiel chimique (concentration)

    datome et le flux de ces atomes dans la zone de raction JD :

    = ( ,)

    II-7

    o :

    -x : est la position (m) ;

    -JD : le flux datomes par unit de surface et de temps exprim en mol/m2.s (ou g/m

    2.s) ;

    -D : le coefficient de diffusion est exprim en m2.s

    -1.

    Le signe ngatif a t introduit pour tenir en compte lopposition de sens du flux et du

    gradient de concertation (le flux gnralement se oriente vers la concertation la plus faible).

    Le coefficient de diffusion est une mesure de la mobilit des atomes, il est directement

    proportionnel la frquence de saut des atomes et il peut s'exprimer par la relation en suivant

    la loi dArrhenius :

    = 0exp(

    ) II-8

    O : =

    ( + ) donc :

    = 0exp (+)

    ,

    Ou :

    -D0 : constante de diffusion de llment;

    -Ht + Hf : reprsente l'enthalpie d'activation de la diffusion (remarquons que dans un

    mcanisme interstitiel, seul Ht est en prendre en compte)[9].

    -Solution de lquation de Fick

    La somme algbrique des flux entrant est gale la variation de la concentration de

    l'espce diffusante dans le volume considr conservation de la matire diffusante :

    + = ( ,)

    II-9

    =

    On aura :

    =

    En admettant que D ne dpend pas de la concentration, on crit pour la diffusion

    unidirectionnelle :

  • 39

    En utilisant la premire loi de Fick eq II-7 on a:

    =

    2

    2=

    II-10

    Du point de vu mathmatique, la deuxime quation de Fick est une quation

    diffrentielle linaire du second ordre. Pour la rsoudre, il est ncessaire de dfinir les

    conditions initiales et les conditions aux limites.

    Dans le cas gnral dun monocristal, le profil aprs recuit peut tre calcul

    numriquement en utilisant la seconde quation de Fick (q II-10):

    (, )

    =

    2(, )

    2

    O :

    -C(x, t) : la concentration la profondeur x et au temps t ;

    -D est le coefficient de diffusion.

    Cette quation permet de calculer lvolution de la concentration des diffrents

    lments chimiques en chaque position et chaque instant. Lquation doit tre adapte des

    donnes discrtises afin dtre utilisable dans un programme de simulation. La discrtisation

    de lquation de Fick donne :

    +1

    =

    +1 2

    +1

    2 , II-11

    t et x reprsentent respectivement lintervalle de temps et le pas en profondeur. Ces deux

    valeurs doivent satisfaire le critre de stabilit suivant : 2

    ()2 1

    Ce critre implique que lintervalle de temps t soit, au maximum, le temps ncessaire

    pour diffuser sur une distance x.

    Lquation (II-11) permet donc, par itrations successives de calculer lvolution du

    profil de concentration chaque intervalle de temps. Elle permet ainsi de simuler un profil

    aprs un recuit thermique dune dure donne. Cependant, dans le cas dun matriau poly-

    cristallin, la diffusion est plus complexe car le coefficient de diffusion est diffrent dans les

    grains et aux joints de grains [3].

    -Lquation de Nernst-Einstein

    Pour que lon ne limite pas uniquement le phnomne de diffusion la

    proportionnalit entre le flux datomes et le gradient de concentration mais en tenant en

    compte dautres phnomnes qui peuvent intervenir sur la diffusion tels que lactivit

    thermodynamique.

  • 40

    =

    , II-12

    O :

    : Le flux datomes i traversant la phase [at. m-2

    .s-1

    ] ;

    : La fraction atomique du constituant i dans la phase (par exemple 2/3 pour le M dans

    M2Si)[at/at] ;

    :La constante de Boltzmann. [eV.K-1

    .at-1

    ] ;

    : Le nombre total datomes par unit de volume dans la phase [at.m-3] ;

    : Le coefficient de diffusion du constituant i dans la phase [m2.s] ;

    : Le gradient de potentiel chimique dans la phase [eV. at

    -1.m

    -1] ;

    : La mobilit des atomes dans la phase.

    Do, le potentiel chimique peut scrire sous la forme :

    = = , II-13

    On a:

    : Lactivit thermodynamique de llment diffusant ;

    : Fraction atomique dans la phase ;

    : Coefficient dactivit thermodynamique.

    En admettant que le gradient de potentiel chimique

    travers la phase est

    considre constant, celui-ci peut scrire :

    =

    , II-14

    O :

    G: Lenthalpie libre de formation de la phase ;

    L : Lpaisseur de la phase .

    Donc lquation de Nernst-Einstein devient :

    =

    1

    +

    1

    =

    1 +

    II-15

    Avec C = N.X (C concentration en at/m3).

    Si la diffusion est isotropie, cela correspond une solution infiniment dilue pour

    laquelle =1 et donc on retrouve lquation de Fick. De mme, on peut retrouver lquation de

    Nernst-Einstein partir de la loi de Fick dite loi de Fick gnralise [4].

    =

    +

    , II-16

    O F reprsente la somme des forces agissant sur le systme. Dans le cas des forces

    chimiques sont dfinie comme tant non proportionnelle au gradient de potentiel chimique :

  • 41

    =

    ,

    La diffusion sarrte lorsque les potentiels chimiques de tous les atomes du systme

    considr sont au mme niveau et le systme est lquilibre.

    Lquation (II-16)tient compte dautres phnomnes qui peuvent intervenir sur la diffusion,

    tels que lactivit chimique, la variation du coefficient de diffusion et potentiels chimiques de

    ses constituants, par le changement du paramtre F [10].

    4-2 Mcanisme de Formation des phases dans les siliciures

    Ltude de la raction ltat solide entre le silicium et le mtal fait par Gas et dHeurle

    12,13 suggre que la formation des siliciures se produit par trois processus diffrents :

    1-Cintique gouverne par la diffusion.

    2-Cintique gouverne par la raction.

    3-Cintique gouverne par la nuclation.

    Pour notre cas, la formation de di-siliciure de titane TiSi2 se fait par la diffusion du

    titane dans le silicium, jusqu la formation de premier siliciure mais aprs cette raction, tout

    se droule en trois grandes tapes qui sont les suivantes[11,12]:

    1) La germination ;

    2) La croissance latrale des germes jusqu lobtention dune couche uniforme ;

    3) La transformation des phases jusqu lobtention dune seule phase stable.

    Les mcanismes fondamentaux mis en jeu lors de la formation des phases en raction solide.

    4-2-1. La diffusion ractive

    La diffusion ractive se compose de deux phnomnes principaux : la diffusion des

    lments travers la phase qui se forme et la raction entre les lments linterface [3].

    On a montr ci-dessus que la diffusion est un phnomne de dplacement d'atomes ou

    de lacunes dans un rseau cristallin par une succession de saut atomique dont la longueur est

    au moins gale une distance interatomique. Ce dplacement est activ par leffet de

    l'agitation thermique, et qui est due un gradient de potentiel qui peut tre dorigine

    chimique, lectrique, mcanique ou thermique. Ce gradient reprsente la force motrice de la

    diffusion.

    La raction entre le mtal et le silicium fait apparatre plusieurs composants suivant

    lnergie libre de formation (nergie de Gibbs ) :

    = , II-17

    : Lenthalpie libre du systme ;

  • 42

    : Lentropie du systme ;

    T : la temprature.

    Donc si < 0 la siliciurisation commence (la formation des siliciures).

    Lorsque la formation dun siliciure apporte une diminution importante de lnergie

    libre, il convient de nglig la variation entropique , lnergie libre du systme est alors

    quivalente lenthalpie qui dans ce cas une enthalpie de formation . Les phases formes

    sont gnralement M2Si et MSi, la formation est contrles par diffusion, on voit donc la

    formation de quelques monocouches de siliciure. Mais pour les phases MSi2 et MSi, il y a une

    formation squentielle de phases avec un gain nergtique (enthalpie) trs faible. Donc,

    nest plus ngligeable. Lpaisseur de siliciure est importante, la formation nest plus

    gouverne par la diffusion mais par la nuclation, ce qui convient la croissance de

    siliciure[15-17].

    4-2-2. La germination dans les siliciures

    Dans la plus part de siliciure, la formation des phases commence par des raction

    linterface et se poursuit par la diffusion de lespce limiteur avec la formation en premier lieu

    des phases riches en mtal telles que Ni/Si (Ni3Si,Ni2Si etNi3Si2) ,Co/Si(Co2Si(,),Co3Si et

    CoSi) et Ti/Si (Ti5Si4, Ti5Si3 et Ti3Si) (sauf pour les siliciures des terre rares). Mais avant la

    formation dune phase quelconque, il faut quil y a la priode de la germination qui contrle la

    formation des phases comme dans le cas de di-siliciure de Cobalt. Mais pour les siliciures de

    nickel en gnral, la diffusion du nickel contrle la cintique des phases Ni2Si et NiSi.

    Cependant, pour que la phase Ni2Si a une croissance contrle par la raction aux interfaces

    Quant la phase NiSi2, cest la germination qui contrle sa formation [3,18].

    Pour le siliciure de titane, la formation des phases sera contrle par la diffusion

    jusqu la formation de la phase TiSi2 ou on voit la transformation de la phase TiSi2C49vers

    la phase TiSi2C54 par la nuclation, cette transformation se fait dans la gamme de temprature

    620-700 C [18].

    4-2-3. La croissance latrale des germes

    Aprs ltape de germination dune phase, cest gnralement la croissance latrale de

    ces germes le long de la surface qui a lieu. Cette tape continue jusqu la formation dune

    couche homogne.

    Pour le modle cintique de formation appliqu Ni2Si ; Il suppose la formation de n

    germe linterface. Ces germes croissent dans le plan dorigine de linterface via une

  • 43

    augmentation de leur rayon et en supposant que la vitesse de croissance de ces germes est

    limite par la raction linterface et par le contact des germes entre eux [7,13,17].

    La coalescence des germes continue jusqu la formation dune couche homogne.

    Ensuite, cest la diffusion une dimension travers la couche homogne qui limite la

    formation de cette phase (figure II-4)[13].

    La combinaison des deux mcanismes de croissance pourra conduire un seul modle

    qui donne la vitesse de la raction en termes de fraction volumique Xv transforme [3,4,7] :

    =

    +

    1

    , II-18

    Avec Zmax, lpaisseur maximale de la phase forme.

    Figure II-4: Lassemblage des germes dans les siliciures image dun AFM [5].

    4-3. Le processus de croissance des siliciures

    Considrons un couple de deux lments M/Si en contact. Supposons que dans le

    diagramme de phase M/Si, il nexiste quune seule phase intermdiaire stable la temprature

    T. La croissance de cette phase MpSiq ncessite deux tapes si on ne considre pas la

    germination :

    1- diffusion de M (et/ou Si) travers MpSiq .

    2- raction chimique entre M et Si aux interfaces (M/ MpSiq et/ou MpSiq /Si) qui

    conduit la formation de compos intermdiaire :

    pM + qSi MpSiq

    Cestle processus le plus lent qui contrlera la cintique de formation.

    Au dbut de la raction, lpaisseur de MpSiq est petite et llment M (ou Si) est

    disponible aux deux interfaces.

  • 44

    La croissance de MpSiq est donc uniquement limite par la capacit des interfaces

    former le nouveau compos.

    Lpaisseur (L) de MpSiq augmente linairement avec le temps, selon Evans [15].

    = , II-19

    Do :

    kr(m/s) : une constante caractristique des ractions dinterface.

    =

    =

    II-20

    Avec :

    : nergie du saut dun grain un autre (nergie du transport linterface) ;

    : Facteur pr-exponentiel ;

    :Diffrence du potentiel chimique de M aux deux interfaces de phase MpSiq ;

    :Volume du compos form par atome despce mobile (M/Si)

    ( =

    /MpSiq et MpSiq est le volume occup par une molcule);

    c : Nombre total datomes par unit de volume dans la phase MpSiq ;

    : Vitesse de la raction interfaciale.

    Au fur et mesure que lpaisseur augmente, la distance que les atomes M(ou Si) ont

    parcourir avant datteindre linterface o a lieu de la raction est de moins en moins

    ngligeable. La croissance de MpSiq nest plus limite par la ractivit interfaciale mais par le

    nombre (flux) datomes qui rejoignent cette interface [7,5].

    La relation de Nernst-Einstein vrifie mieux le phnomne de diffusion :

    = //

    /

    = /

    /

    (2/

    1/

    )

    , II-21

    et :

    =

    suivant II-14

    Avec : =1

    = /

    /

    II-22

    Aprs lintgration de cette quation, on a :

    2() = 2//

    II-23

    Lpaisseur de suit alors une loi en t caractristique dun phnomne limit

    par la diffusion :

    2 = ;

    kD : le taux de formation (m/s).

  • 45

    La croissance de la couche en cours de formation de siliciure est parabolique quand

    lpaisseur de siliciure augmente, cest la diffusion qui limite la formation du compos.

    La combinaison des deux phnomnes (ractions aux interfaces, diffusion) conduit la

    croissance linaire-parabolique ; la transition dans ce cas est douce. Cependant, pour ce

    changement de rgime, on peut dfinir une paisseur critique caractrise par (LD/R = kD/kR)

    dans laquelle la loi linaire domine en dessous et au-dessus la loi parabolique est suivie [19].

    On peut modliser le cas gnral de la croissance des siliciures par lassociation des

    deux quations ont une seul quation :()

    +

    ()

    = [3].

    4-3-1.Croissance simultane de deux phases

    Daprs les tudes dHeurle [8,9,12,13], la formation des siliciures se commence dans les

    conditions bien favorables (temprature, concentration) par une diffusion importante de

    llment diffuseur (M), jusqu la consommation totale du mtal (Ti), avec la formation en

    premier temps dune (ou de plusieurs) phase riche en mtal ( prsent en diagramme de phase

    tel que : TiSi ou (Ti3Si)) llment diffuseur M dans notre cas est le Silicium Si . Mais

    dans certain cas de films minces, il peut y avoir une croissance simultane de plusieurs phases

    [8], mais daprs [13,14,17] dans le cas du siliciure de titane la formation des phases est

    squentielle.

    Figure II-5 : formation des diffrentes phases suivant le flux de diffusion.

    Daprs [8,17] lespce diffusante est le silicium, on a deux phases en quilibre MSi

    et MSi2. (JSi>JM). Dans ce cas, les ractions vont se produire aux deux interfaces

    MSi/MSi2pour la phase et MSi/Si2 (Figure II-5) pour la phase .

    ()

    ()

    2 II-23

    Les relations de Nernst-Einstein donnent :

  • 46

    ()

    ()

    2

    II-24

    O : sont des constantes de ,

    , / , ,

    ()

    0

    2

    0 En dcideront

    2

    = ,

    >

    Donc, la croissance de la phase (II) ne peut se faire que si la phase (I) atteint certain limite

    qui est :

    .

    4-3-2.Formation des phases

    Plusieurs modles de croissance ont t dvelopps parmi eux le modle cintique, Ce

    modle lie la croissance des siliciures un phnomne de diffusion avec lapplication des lois

    de la diffusion sur ces composes. On va aboutir un modle qui calcule la croissance des

    phases en lien direct avec le taux de libration des atomes de llment limiteur.

    La raction se fait en trois tapes :

    La diffusion formation des phases primaires transformation des phases vers une phase

    plus stable.

    En appliquant le modle cintique avec une simulation dans les films mince, il y a l un

    couple de diffusion des deux lments, la limite de la phase forme et la phase dtruite dans

    une zone de raction, (figure II-6). Il y a dans ce cas deux types de raction qui dpendent de

    la vitesse de diffusion de chaque lment. Si un lment diffuser plus rapide que lautre, on

    le surnomme le ractif (Mobil) M et lautre sera le non ractif N (Figure II-7).

  • 47

    Figure II-6 : le dbut du droulement de la raction.

    X(t)=0 XS(t) XN(t)

    X(t+dt)=0 XS(t+dt) XN(t+dt)

    Figure II-7 : la croissance de siliciure avec la diffusion de llment limiteur.

    Dans les cas des systmes Ti-Si, ptSi et GrSi, les atomes de Si reprsentent

    llment diffuseur M.

    Comme on a dit avant, la raction se droule en trois tapes :

    1- Les atomes Si diffuse pour arriver dans la zone de raction ;

    2- A leur arrive, il aura une raction avec les atomes du mtal ;

    3- Les molcules formes se rarrangent pour former le nouveau compos.

    La dfinition des paramtres du modle :

    NMs : Le nombre datomes (ractives) M dans la solution solide ;

    La zone de raction

    La phase croissante La phase duimunante

    M JM

    S JM

    N N

    Siliciures croissant Non ractive

    M JM

    S JM

    N N

    Siliciures croissant Non ractive

  • 48

    N Ns : Le nombre datomes (non ractives) N dans la solution solide ;

    : Le flux datomes M la zone de raction ;

    jN

    Ss: Le flux datomes N a la zone de raction.

    F S : Le taux de formation ;

    : La diffrence de flux datomes M entrant et sortant de la zone de raction ;

    Ce modle dcrit la formation des siliciures basse temprature sous leffet de la

    diffusion.

    Les quations de base :

    JJJM

    N

    M

    S

    M II-25

    *M M

    s S sd dtJ n N x II-26

    nM

    s : La densit de S( f.u./m

    2) la surface d interaction

    dtdxN SS : Le flux de diffusion par unit de surface S dans un temps dt =tFs le taux de

    formation.

    S

    M N M

    S F SN t r J II-27

    La mthode pour relier le flux de diffusion et taux de libration.

    4-3-3.Simulation pour le systme Ti/Si

    Le fait que la phase TiSi se forme en premier temps avant la formation de TiSi2 la

    phase final comme le diagramme dquilibre le montre :

    JJtJTiSi

    TiSi

    Ti

    TiSij

    Ti

    2

    )( II-28

    Dans la raction siliciurisation.

    2TiSiTiTiSi II-29

    Dans ce cas N=TiSi et M reprsente Si et on a donc :

    2

    16/ 2.118810TiSi at mN

    On a :

    )()(2

    tJtr jSi

    j

    TiSi

    TiSi II-30

    On peut conclure que:

    JM

    S

    JM

  • 49

    JJJJSi

    TiSi

    SiSi

    TiSi

    Si

    tot

    2

    II-31

    Les relation entre rM

    et JM

    sont :

    Figure II-8 : la relation entre JM

    et rM

    4-3-4. Le facteur influant sur le taux de raction

    La diffrence entre la vitesse de la raction Ti/Si et celle de la raction TiSi/Si est

    interprt par la diffrence entre rSi

    TiSiet

    2

    Si

    TiSir . Le facteur principale qui cette diffrence est

    llment ractif ou llment diffuseur on remarque dans le premier cas (la raction Ti/Si )

    que Si est llment diffuseur, par contre dans le deuxime cas (la raction TiSi/Si ) cest

    la molcule entier TiSi qui reprsente llment diffuseur.

    5. Le siliciure de titane

    Le choix des siliciures se fait pour plusieurs raisons telles que leur faible rsistivit

    lectrique (entre 15 et 150.m), leurs proprits de passivation ainsi que leur stabilit

    thermodynamique vis--vis loxydation favoris le bon contact ohmique. Le siliciure de titane

    (TiSi2) reprsente un des matriaux qui ont des caractristique importantes, et qui ont permet

    de former le siliciure sur des grilles jusqu 0,20m. [3,17,20,21].

  • 50

    Tableau II-1 Les Proprits des diffrents siliciures.

    5-1 Le diagramme de phase du Titane-silicium

    Il y a diffrentes phases, alliant mtal (Titane) et silicium, qui sont stables dun point

    de vue thermodynamique. Le diagramme de phase du systme binaire Ti-Si reprsente sur la

    figure (fig.II-9), montre la prsence de cinq composs : 1-TiSi2, 2-TiSi, 3-Ti5Si4, 4-Ti5Si3 et

    5-Ti3Si. Il admet trois points eutectiques situs respectivement 1330C avec 14% at. de

    titane, 1470C avec 36% at. de titane et 1340C avec 86.3% at. Ti.

  • 51

    Figure II-9 : le diagramme de phases dun siliciure de titane

    5-2 Structure cristalline des diffrentes phases du siliciure de Titane

    Les structures cristallines ainsi que les paramtres du rseau des phases les plus stables

    TiSi2,Ti5Si3, et TiSi sont repr toutes ou tableau II-2

    -Le compos TiSi2 peut avoir deux structures cristallines diffrentes. La phase

    mtastable C49, qui se forme basse temprature, admet une structure orthorhombique

    bases centres (a=3.562 , b= 13.531 , c= 3.550 ) voir Figure II-11. La structureC54

    cristallise dans la structure orthorhombique faces centres (a= 8.269, b = 8.553 , c

    =4.798 ), et la phase stable qui figure dans le diagramme de phase du systme

    correspondant. Cette dernire phase C54 se forme haute temprature (>700C).

    La mtastabilit de la phase TiSi2 C49 a t impute sa faible densit et un grand

    nombre d'empilement de dfauts en comparaison avec la phase TiSi2 C54, comme le montre

    les figure II-12 et II-11.

    -Le monosiliciure TiSi admet une structure orthorhombique (a= 6.54,b=3.63, c=

    4.99 ) alors que la phase Ti5Si3 cristallise dans la structure hexagonal (a= 7.46 , c= 4.16

    ).

  • 52

    -Le compos Ti5Si4 peut avoir deux structures cristallines diffrentes lune

    orthorhombique croissant haute temprature et l'autre ttragonale croissant basse

    temprature.

    Phase Structure Paramtre

    Ti5Si 3 Hexagonale a=0.7465

    c=0.5162

    TiSi Orthorhombique a= 0.654

    b=0.363

    c=0.499

    TiSi2 Orthorhombique C49

    a= 0.362

    b=1.376

    c=0.36

    Orthorhombique C54 a= 0.8252

    b=0.4783

    c=0.8540

    Le tableau (II-2) : les paramtres de structure des diffrentes phases de siliciures de titane

  • 53

    Figure II-10 : La structure cristalline (TiSi2 C54). Groupe despace : Fddd

  • 54

    Figure II-11: La structure cristalline (TiSi2 C49). Groupe despace : Cmcm

  • 55

    6. Modles de formation des siliciures

    6-1 les mcanismes de formation des siliciures

    6.1.1- La raction Ti/Si et le Processus de formation des phases

    La raction ltat solide entre le Titane Ti et le Silicium Si est largement tudie.

    Plusieurs chercheurs confirment la formation initiale des phases est comme suit : Ti5Si3, TiSi

    et Ti5Si4 qui se poursuit jusqu la saturation par la formation de la phase TiSi2 une

    temprature suprieure 650 C. Cette phase se prsente sous deux structures diffrentes la

    C49 et la C54 [12,17,20 ,23-25].

    La formation des siliciures, en gnral, se fait en deux tapes apparentes :

    1-La formation dune inter-couche entre le film mtallique et le substrat du Si avec une

    structure amorphe dune composition diffrente entre les voisinages du substrat Si et du film

    Ti. En sachant que les composants proches de Ti seront riches en mtal par rapport celles

    qui sont prs du substrat qui sont riches en silicium voir figure (II-12) [25].

    2-Cristallisation des phases vient aprs les recuits de diffrentes dures et diffrentes

    tempratures.

    (a): Ti + TiMet + siliciure amorphes

    (b) : TiMet + siliciure amorphes + Ti5Si3

    (c) : TiMet + siliciure amorphes (fraction) + Ti5Si3 + C49 TiSi2

    (d) : TiMet + siliciue de transition (C54 TiSi2 -fraction) + C49 TiSi2 Figure II-12 : Image MET de la formation de siliciure de titane [25]

    La formation de siliciure dbutera par la phase Ti5Si3 qui se transforme la phase C49

    TiSi2 avec la disparition totale de linter-couche amorphe. La coexistence de plusieurs phase

    tel que TiSi et TiSi2 C49 et Ti5Si4 est trs frquente. Mais, lexistence seule de la phase C49

    ne se fera quaprs un recuit une temprature de 600 C. Un recuit au-dessus de 700C

    capable de la gnration totale de la phase C54. [25].

    Lors de la formation des siliciures, plusieurs phases se forment selon des squences

    varies dans le diagramme dquilibre. Il y a plusieurs facteurs qui influent sur cette

    formation :

  • 56

    1- La prparation du substrat (les diffrentes tapes de nettoyage), lpaisseur de celle-ci et

    la prsence de loxyde inter-faciaux.

    2- Les paramtres de dposition du film : nergie, temprature, pression,...

    3- La nature du film mtallique : lpaisseur, le volume des grains, la puret et la

    prsence des dfauts

    En dautres termes, il sagit des coefficients de diffusion dans les phases diffrentes et

    des lments diffrents ainsi que du gradient de concentration de llment diffusant, de la

    temprature du recuit et de la prsence des diffrents types dimpurets pendant la formation

    des siliciures.[10]. En ce qui concerne lpaisseur du film mtallique comme pour le cas des

    composants riches en mtal tels que MxSiy, avec xy, la formation se fait temprature basse

    sur un film pais. Quant aux composants non riches en mtal tels que MSi2 qui se forment

    haute temprature sur un film moins pais [8].

    6.1.2- Le Processus de la transformation des phases C49/C54:

    La raction entre Si et Ti produit, dans un premier temps, une phase mtastable de

    rsistivit (60-90/cm) TiSi2 C49. Aprs un traitement thermique haute temprature, cette

    phase se convertit vers la C54 avec une rsistivit de : (12-20 /cm). La formation de C49

    qui a une nergie de formation faible vient pour prparer la formation de C54 qui, par contre,

    a une nergie de formation plus grande [23-25].

    Pour Haffman et Col qui ont tudi linfluence de la taille des grains et lpaisseur du

    film sur cette transformation, en supposant que Ttr est la temprature de transformation de

    la phase C49 la C54. Ils ont vrifi aussi que Ttr augmente avec laugmentation de

    lpaisseur de film.[27]

    Pour Beyers& Al et pour Haffman la prsence doxygne ninflue pas sur

    Ttr[27,28].

    Une autre proposition de la formation de la phase C49 est due leffet de la

    germination est donne par Jun & Al [22]. Ces derniers suggrent que la formation de C49

    basse temprature est due la faible nergie de surface qui favorise donc la C49 par rapport

    la C54.

    A haute temprature, on voit, dans la couche de phase, C49 la formation des lots de la

    phase C54 qui se dveloppent aprs un recuit rapide ou lent des tempratures de lordre de

    700-900C [19,20]. La relation surface/volume dtermine Ttr, on voit pour des petits

  • 57

    volumes et les grandes surfaces que Ttr augmente par rapport aux cas pour des petites

    surfaces et des grands volumes.

    La phase C54 germe dans les grains de la C49, cette germination dpend de la taille de

    ces grains, la loi suivante permet de calculer la probabilit de la nuclation des germes. [28-

    32].

    !)(

    34

    nn depa

    O:

    II-33

    d: Rayon du germe ;

    n : Nombre des sites de nuclation dans un point donn ;

    Une des simples explications de la formation de C49 dans la gamme de temprature de

    400-650 C est la stabilit de cette phase. Cette hypothse a t vrifies par Heurle[13,14]

    Hafman[27] qui ont ralis des recuit pour la phase C54 basse temprature pendant

    longtemps sans lobservation daucune transformation de C54 C49. Donc la C49 est

    mtastable. La stabilit de C49 est favorise par la prsence des types particuliers

    dimpurets.

    Les mcanismes des transitions de phases montrent que plusieurs aspects doivent tre pris

    en considration [33].

    Aspect thermodynamique qui fait intervenir les enthalpies libres des diverses phases, les

    potentiels chimiques des constituants. Cet aspect se remarque par une force motrice qui est la

    rsultante de lnergie de Gibbs, cette nergie ngative nest rien dautre que :

    FTm GGG

    O :

    II-34

    GT : nergie de Gibbs de la phase initiale ;

    GF:: nergie de Gibbs de la phase finale .

    2-Aspect cristallographique: la structure des phases ventuelles (figure II-10 et II-11) et les

    relations dorientation, nature des interfaces, anisotropie cristalline.

    3-Aspect cintique: la vitesse de croissance des phases et leurs transitions, les lois cintiques

    globales en fonction de la temprature et du temps.

    La mtastabilit de la C49 est due [21]:

    a. La basse densit de C49, moins datomes par volume de maille, donc un changement

    datomes de leurs sites sera facile;

  • 58

    b. La prsence importante des dfauts dans C49 par rapport celle dans C54 ;

    c. La nuclation de C54 pendant la croissance de C49 est lie directement lnergie inter-

    faciale ;

    d- Lnergie libre pour la germination de C49 est infrieure celle du C54, pour cela la phase

    C49 apparat avant la phase C54. La phase C49 donne naissance un nombre important de

    sites de germination avec une taille des grains moyennement petits par rapport celle de C54

    qui a un nombre de sites de germination moins importants qui ncessitent plus dnergie ;

    Figure II-13: Squence de formation des diffrentes phases [16]

    6-2 Le modle de chaleur effective de formation (Effective Heat Formation)EHF:

    La croissance des siliciures est un phnomne dinteraction entre deux phases solides,

    qui fait apparatre un ou plusieurs phases linterface mtalsiliciure. Pretorus [38]. a

    propos un modle bas sur la diffrence de concentration de deux lments, qui a fait appel

    la chaleur effective de formation (EHF) [ 35-38].

    Il considre que la phase la plus stable est celle qui a le point eutectique le plus bas et le pic de

    EHFle plus haut.

    6-2-1.Illustration du concept de la chaleur effective de formation

    On considre lexemple de (Cr) avec (Si) pour former la phase compose CrSi( 50%Cr

    et 50% de Si).

  • 59

    Supposons quaprs le dpt de Chrome (Cr), on a un ensemble de 10 atomes la

    zone de raction (5Cr et 5Si). Dans ce cas, il y a une raction de 50% datomes Cr et 50%

    atomes Si. La phase forme est CrSi mais sil y a 70% datomes Si et 30% de ceux de Cr

    la phase forme donc sera CrSi2 ; le reste datomes de Si seront en excs et participent la

    formation dun second compos linterface mobile.

    Il est ncessaire de mentionner que lors de la formation des molcules de CrSi, le

    systme va librer la chaleur. Celle-ci va dpendre essentiellement de la chaleur de formation et

    de la concentration des espces ractives linterface qui amne dfinir la chaleur effective

    de formation note H*( la chaleur libre par le systme ) par la relation suivante.

    CC

    HHelc

    elp

    0*

    O :

    H* : la chaleur libre par le systme ;

    II-35

    H0: lenthalpie libre de formation ;

    Celp: concentration de llment limiteur dans le produit ;

    Celc: concentration de llment limiteur dans la composition.

    6-2-2. La mise en quation du modle EHF

    BA yxZYBXAZ

    d A BN N N

    II-36

    II-37

    MMZZM BAyx XA: nombre datome A dans le compose AxBy ;

    YB : nombre datome B dans le compose AxBy ;

    ZAxBy: nombre de molcules AxBy ;

    N : nombre datome disponible ;

    NA : nombre datome A disponible pour la raction dans la zone de raction ;

    NB : nombre datome B disponible pour la raction dans la zone de raction ;

    M : nombre datomes consommer durant la formation de BA yx ;

    En dsignant :

    AA

    ed

    NC N

  • 60

    CAe

    : Concentration effective des atomes A disponible ;

    BB

    ed

    NC N

    CBe

    : Concentration effective des atomes B disponible ;

    )( YXXC

    Ac

    CAc

    : Concentration compose des atomes A dans: ;

    )( YXYC

    Bc

    CBc

    : Concentration compose des atomes B dans .

    On a donc :

    CNNMACAA

    II-38

    Dans (II