178
2 2 2

Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

  • Upload
    others

  • View
    0

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

N d'ordre 2009 ISAL 097Année 2009

Thèse de doctorat en cotutelle France-Québec

Réalisation et caractérisation opto-électrique d'un nanopixel à base denanocristaux de silicium

Présentée devantL'institut national des sciences appliquées de Lyon

Pour obtenirLe grade de docteur

Formation doctoraleDispositifs de l'Électronique Intégrée

École doctoraleÉlectronique, Électrotechnique, Automatique (EEA)

ParLino EUGENE(Ingénieur INSA)

Soutenue publiquement le 03 décembre 2009 devant la Commissiond'examen

Jury :Président et rapporteur A. Ruediger Professeur, INRS (Québec, Canada)Rapporteur T. Baron Chargé de recherche habilité CNRS, LTMExaminateur N. Pauc Ingénieur, CEA/INACCo-directeurs V. Aimez Professeur, UdeS/CRN2 (Québec, Canada)

D. Drouin Professeur, UdeS/CRN2 (Québec, Canada)A. Soui Professeur, INSA/INL

Laboratoires de rechercheInstitut des Nanotechnologies de Lyon (INL), site INSA de Lyon

Centre de Recherche en Nanofabrication et Nanocaractérisation (CRN2),Université de Sherbrooke, Québec, Canada

Page 2: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede
Page 3: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

À ma famille, et à ma ancée, Josée

Page 4: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede
Page 5: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

RÉSUMÉ

Actuellement, plusieurs types de photodétecteurs sont disponibles sur le marché. Leursperformances se caractérisent notamment par la réponse spectrale, le courant d'obscurité,le rapport signal sur bruit, le rendement quantique et le temps de réponse. L'émergencede nouvelles applications nécessite des photodétecteurs de plus en plus sensibles, an depouvoir détecter de très faibles niveaux de radiation, voire de pouvoir compter des photonsun par un.

Ce travail de thèse s'intéresse aux moyens de réalisation de nanopixels pour la détectionde faibles niveaux de lumière visible, en utilisant l'absorption dans des nanocristaux desilicium.

Après avoir discuté de l'inuence de la réduction des dimensions sur les propriétés élec-troniques et optiques du silicium, ainsi que de l'utilisation du blocage de Coulomb pourla photodétection, nous présentons un procédé de fabrication et d'isolation de nanopilierscontenant des nanocristaux de silicium dans une matrice d'oxyde de silicium. Les carac-téristiques électriques des nanopixels intégrant ces nanocristaux ont permis de mettre enévidence les phénomènes de piégeage de charges dans les îlots, ainsi que leur contribu-tion aux mécanismes de transport. Nous présentons nalement une première étude despropriétés électro-optiques des nanopixels qui ont été caractérisés par des mesures dephotocourant.

Mots-clés : photodétection, blocage de Coulomb, nanocristaux de silicium, nanofabrica-tion, SU-8, cathodoluminescence, capacité MOS, transport électrique, photocourant

i

Page 6: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede
Page 7: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

ABSTRACT

Currently, several types of photodetectors are available on the market. Their performancesare characterized by the spectral response, the dark current, the signal-to-noise ratio, thequantum eciency and the response time. The emergence of new applications requiresphotodetectors increasingly sensitive to detect very low levels of radiation, or even tocount photons one by one.

This thesis focuses on ways of achieving nanopixels for detection of low levels of visiblelight, by using absorption in silicon nanocrystals.

After discussing the inuence of reduced dimensions on the electronic and optical prop-erties of silicon, and the use of Coulomb blockade for the photodetection, we presenta method of manufacturing and insulation nanopillars containing silicon nanocrystalsin a silicon dioxide matrix. The electrical characteristics of nanopixels incorporatingthese nanocrystals have highlighted the phenomenon of trapping charges in the islandsand their contribution to the transport mechanisms. We nally present a rst study ofelectro-optical properties of nanopixels, characterized by photocurrent measurements.

Keywords: photodetection, Coulomb blockade, silicon nanocrystals, nanofabrication,SU-8, cathodoluminescence, MOS structure, electrical transport, photocurrent

iii

Page 8: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede
Page 9: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

REMERCIEMENTS

Ce doctorat en co-tutelle entre la France et le Québec m'a permis de rencontrer de nom-breuses personnes et d'échanger avec elles au cours de ces cinq années de ma vie. Cetteexpérience a été enrichissante et formatrice, et elle n'aurait pu être agréable sans l'appuide personnes qui ont cru en moi et qui m'ont encouragé jusqu'au bout.Je tiens tout d'abord à remercier mes directeur de thèse, les professeurs Abdelkader Soui,Vincent Aimez et Dominique Drouin pour m'avoir fait conance dans la réalisation decette première thèse en co-tutelle entre l'INL et le CRN2. En tant qu'encadrants, ils ontmis tous les moyens nécessaires à ma disposition pour réaliser ce travail. En tant qu'êtreshumains, ils m'ont écouté dans les moments diciles et m'ont redonné du courage poursurmonter les problèmes.

J'exprime ma reconnaissance à Messieurs Andreas Ruediger, professeur à l'INRS, etThierry Baron, chargé de recherche HDR CNRS au LTM, pour avoir accepté de par-ticiper à mon jury de thèse en tant que rapporteurs. Je remercie profondément AndreasRuediger, pour s'être déplacé de Montréal à Lyon et m'avoir fait l'honneur de présider lejury. Ma reconnaissance s'adresse également à Monsieur Nicolas Pauc, ingénieur au CEA,qui a accepté de participer au jury. Il m'a aussi été d'une grande aide lors de son séjourà Sherbrooke en tant que post-doctorant, concernant la fabrication de nanostructuresdépourvues de grille de polysilicium et la caractérisation des couches par cathodolumines-cence.

Mon travail en salles blanches n'aurait été possible sans l'aide précieuse du personneltechnique et professionnel du CRN2 : Pierre Lafrance, Guillaume Bertrand, Caroline Roy,Mélanie Cloutier, Michaël Lacerte, Jean Beerens, Etienne Grondin, Pierre Langlois, Ab-delatif Jouad.

Je remercie Messieurs les Docteurs Nicolas Baboux et Arnaud Beaumont, avec qui j'ai eula chance de discuter de longues heures sur la caractérisation électrique des structures.Leurs suggestions et contributions ont été importantes pour moi.

Je n'aurais pu me sortir des méandres administratifs franco-québécois sans la compétenceindéniable des secrétaires : Mesdames Danielle Gagné, Marie-Claire Boisvert, Annie Sus-lec, Patricia Combier, Meriem Dahmani. Je suis particulièrement reconnaissant à l'égardde Madame Martine Rojas pour son amitié.

Un gros merci à tous les doctorants et post-doctorants que j'ai rencontrés durant mondoctorat pour leur dynamisme et la bonne ambiance qu'ils ont assuré au sein de L'INLet du CRN2. Merci également aux étudiants stagiaires.

J'ai nalement une pensée très tendre envers ma "blonde" et ancée Josée, qui m'a at-tendu, soutenu et enduré. Elle m'a donné tout l'amour dont j'avais besoin pour me rassureret pour aller de l'avant.

J'espère que ceux que j'oublie me pardonneront cet aront. Je les en remercie d'autantplus.

v

Page 10: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede
Page 11: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

TABLE DES MATIÈRES

1 Introduction 1

2 Les nanocristaux de silicium, vers des applications mono-électroniques 52.1 Du silicium massif à la boîte quantique . . . . . . . . . . . . . . . . . . . . 5

2.1.1 Propriétés électroniques et optiques du silicium massif . . . . . . . . 52.1.2 Le connement quantique et la boîte quantique de silicium . . . . . 7

2.2 Eets de la réduction des dimensions sur le transport électronique : leblocage de Coulomb . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102.2.1 Principe du blocage de Coulomb . . . . . . . . . . . . . . . . . . . . 102.2.2 Conditions d'application du blocage de Coulomb . . . . . . . . . . . 172.2.3 Le blocage de Coulomb dans les semiconducteurs . . . . . . . . . . 18

2.3 Techniques de fabrication de dispositifs mono-électroniques . . . . . . . . . 192.3.1 Les dispositifs métalliques . . . . . . . . . . . . . . . . . . . . . . . 192.3.2 Les dispositifs en silicium . . . . . . . . . . . . . . . . . . . . . . . 24

2.4 Applications du blocage de Coulomb . . . . . . . . . . . . . . . . . . . . . 342.4.1 Le transistor SET silicium, remplaçant du transistor MOSFET dans

les circuits logiques ? . . . . . . . . . . . . . . . . . . . . . . . . . . 342.4.2 La mémoire à un ou quelques électrons . . . . . . . . . . . . . . . . 342.4.3 La diode tunnel résonante . . . . . . . . . . . . . . . . . . . . . . . 382.4.4 Applications à l'opto-électronique : l'émission et la détection d'un

ou de quelques photons . . . . . . . . . . . . . . . . . . . . . . . . . 402.5 Contexte de l'étude . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46

3 Techniques de fabrication de nanocristaux de silicium et de nanostruc-tures 493.1 Méthodes d'élaboration des nanocristaux de silicium . . . . . . . . . . . . . 49

3.1.1 Oxyde riche en silicium . . . . . . . . . . . . . . . . . . . . . . . . . 493.1.2 Nucléation par LPCVD . . . . . . . . . . . . . . . . . . . . . . . . . 513.1.3 Recristallisation du silicium amorphe . . . . . . . . . . . . . . . . . 523.1.4 Autres méthodes . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53

3.2 Techniques de fabrication de nanostructures . . . . . . . . . . . . . . . . . 543.2.1 La lithographie par faisceau d'électrons . . . . . . . . . . . . . . . . 553.2.2 La gravure humide et plasma . . . . . . . . . . . . . . . . . . . . . 563.2.3 L'isolation électrique des nanostructures . . . . . . . . . . . . . . . 58

3.3 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61

4 Développement d'un procédé de fabrication et d'isolation de nanostruc-tures contenant des nanocristaux 634.1 Réalisation de nanopiliers contenant des nanocristaux de silicium dans une

matrice d'oxyde . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 644.1.1 Structures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 644.1.2 Procédé de fabrication . . . . . . . . . . . . . . . . . . . . . . . . . 64

4.2 Application à d'autres nanocristaux . . . . . . . . . . . . . . . . . . . . . . 794.2.1 Structures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 794.2.2 Procédé de fabrication . . . . . . . . . . . . . . . . . . . . . . . . . 80

4.3 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82

vii

Page 12: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

5 Caractérisation structurale, optique et électrique du SiOx et des nano-piliers 855.1 Analyse des couches SiOx par microscopie électronique en transmission . . 855.2 Analyse des propriétés optiques des nanocristaux de silicium dans le SiOx

par cathodoluminescence . . . . . . . . . . . . . . . . . . . . . . . . . . . . 875.2.1 Principe de la cathodoluminescence . . . . . . . . . . . . . . . . . . 875.2.2 Cathodoluminescence du SiOx . . . . . . . . . . . . . . . . . . . . . 88

5.3 Étude du chargement et du transport dans les structures avec SiOx . . . . 915.3.1 Le chargement dans les structures avec nanocristaux . . . . . . . . 915.3.2 Les diérents mécanismes de conduction . . . . . . . . . . . . . . . 925.3.3 Caractérisation électrique des structures de grande surface . . . . . 985.3.4 Caractérisation électrique des nanopiliers . . . . . . . . . . . . . . . 108

5.4 Spectroscopie de photocourant des structures avec SiOx . . . . . . . . . . . 1105.4.1 Principe et montage . . . . . . . . . . . . . . . . . . . . . . . . . . 1105.4.2 Résultats et discussion . . . . . . . . . . . . . . . . . . . . . . . . . 112

5.5 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115

6 Conclusion générale et perspectives 119

A Procédé de fabrication détaillé développé sur C178Pxx 123

B Simulation Medici 127

LISTE DES RÉFÉRENCES 129

viii

Page 13: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

LISTE DES FIGURES

1.1 Photos de la lune prise avec le premier capteur CCD commercial, et ducapteur multi-CCD Megacam . . . . . . . . . . . . . . . . . . . . . . . . . 2

1.2 Illustrations de capteurs CCD commerciaux utilisés pour la détection defaibles niveaux de lumière . . . . . . . . . . . . . . . . . . . . . . . . . . . 3

2.1 Structure de bandes du silicium . . . . . . . . . . . . . . . . . . . . . . . . 52.2 Représentation schématique des surfaces d'énergie constante des bandes de

conduction du silicium . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62.3 Schéma de structures de bandes illustrant l'émission et l'absorption d'un

photon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72.4 La double jonction tunnel . . . . . . . . . . . . . . . . . . . . . . . . . . . 112.5 Caractéristiques I-V idéales d'une double jonction tunnel . . . . . . . . . . 132.6 Le transistor à un électron . . . . . . . . . . . . . . . . . . . . . . . . . . . 142.7 Diagramme de stabilité ou de Coulomb pour un transistor à un électron . . 152.8 Caractéristique I − VG idéale d'un transistor à un électron, pour V < e/CΣ. 162.9 Diagrammes de bandes d'énergie représentant les 3 régimes de fonctionne-

ment d'un transistor SET . . . . . . . . . . . . . . . . . . . . . . . . . . . 162.10 Principe de l'évaporation à deux angles opposées à travers un masque sus-

pendu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 192.11 Principe de l'évaporation à trois angles à travers un masque suspendu . . . 202.12 Caractéristiques d'un transistor à un électron réalisé par évaporation à 3

angles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 202.13 Principe de la nano-oxydation par pointe STM . . . . . . . . . . . . . . . . 212.14 Caractéristiques électriques d'un transistor à un électron fabriqué par nano-

oxydation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 212.15 Caractéristiques électriques d'un transistor à un électron avec trois parti-

cules colloïdales d'or . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 222.16 Illustration du procédé nano-damascene . . . . . . . . . . . . . . . . . . . . 232.17 Caractéristiques électriques de transistors SET fabriqués par nano-damascene 242.18 Caractéristiques électriques de transistors MOS à canal court . . . . . . . . 252.19 Caractéristiques d'un transistor SET réalisé par le procédé PADOX . . . . 262.20 Représentation schématique des procédés V-PADOX et PADOX . . . . . . 272.21 Caractéristiques électriques d'un transistor SET avec une double grille la-

térale auto-alignée . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 272.22 Caractéristiques électriques d'un transistor mono-électronique ambipolaire

fabriqué par PADOX . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 282.23 Caractéristiques électriques d'un transistor SET, constitué d'un réseau 2D

de nanostructures de silicum obtenues par attaque chimique . . . . . . . . 292.24 Etapes de fabrication de nanostructures de silicium avec le procédé nano-

LOCOS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 302.25 Caractéristiques électriques d'un transistor mono-électronique ambipolaire,

constitué de nanostructures de silicium fabriquées avec le procédé nano-LOCOS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30

2.26 Images AFM d'électrodes en or, formées après dépôt de nanocristaux desilicium par LPCVD, avant et après nano-manipulation . . . . . . . . . . . 31

2.27 Caractéristiques I-V du dispositif avec les nanocristaux de silicium et d'undispositif référence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31

ix

Page 14: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

2.28 Caractéristiques électriques d'un nanopilier constitué d'un îlot de polysili-cium et de deux barrières de nitrure . . . . . . . . . . . . . . . . . . . . . . 32

2.29 Représentation schématique d'un transistor à un électron vertical avec unîlot de polysilicium . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33

2.30 Caractéristiques électriques d'un transistor à un électron vertical avec unîlot de polysilicium . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33

2.31 Illustration de la fabrication d'une mémoire à nano-grille ottante auto-alignée . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35

2.32 Caractéristiques électriques d'une mémoire à nano-grille ottante auto-alignée 362.33 Vue schématique et diagrammes de bande de la mémoire à grille ottante

granulaire . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 362.34 Caractéristiques électriques d'une mémoire à grille ottante granulaire . . . 372.35 Caractéristiques électriques d'une mémoire à deux plans d'îlots de silicium

auto-alignés . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 372.36 Diagrammes de bandes d'une hétérostructure à double barrière symétrique,

à diverses polarisations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 382.37 Caractéristique I-V typique d'une diode tunnel résonante . . . . . . . . . . 392.38 Caractéristiques électriques de diodes résonantes tunnel à base de silicium . 392.39 Schéma de fonctionnement de la mémoire électroluminescente . . . . . . . 412.40 Caractéristiques optiques et opto-électriques d'une mémoire électrolumi-

nescente . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 422.41 Vue schématique et schéma électrique d'un transistor SET asymétrique

fabriqué par PADOX . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 432.42 Caractéristiques électriques du transistor SET asymétrique sous obscurité

et sous éclairement d'une lampe halogène . . . . . . . . . . . . . . . . . . . 442.43 Caractéristiques Ids − Vbg d'un transistor ambipolaire, constitué d'un ré-

seau 2D d'îlots formés par le procédé nano-LOCOS, sous obscurité et souséclairement d'une lampe halogène . . . . . . . . . . . . . . . . . . . . . . . 44

2.44 Courants de drain d'un transistor ambipolaire en fonction de la puissanceet de la longueur d'onde . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45

2.45 Caractéristiques électriques d'un transistor SET vertical avec trois îlots depolysilicium, sous obscurité et sous éclairement . . . . . . . . . . . . . . . . 46

2.46 Représentation schématique du nanopixel . . . . . . . . . . . . . . . . . . . 47

3.1 Images de microscopie en transmission de nanocristaux de silicium obtenuspar implantation ionique . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50

3.2 Images MEB et TEM d'une cellule mémoire à base de nanocristaux desilicium obtenus par dépôt LPCVD et recuit d'une couche de SiOx . . . . . 51

3.3 Images MEB et TEM de nanocristaux de silicim déposés par LPCVD . . . 523.4 Images par transmission en champ clair et en haute résolution d'une struc-

ture SiO2/nc-Si/SiO2 obtenue par recristallisation du silicium amorphe . . 533.5 Vues en coupe TEM de lignes de silicium oxydées en ambiance humide . . 59

4.1 Vue schématique de l'empilement du lot C178Pxx. . . . . . . . . . . . . . . 644.2 Illustration du procédé de fabrication et d'isolation de nanopiliers sur les

plaques C178Pxx . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 654.3 Images MEB de réseaux de points dénis dans la résine QSR-5 . . . . . . . 664.4 Images MEB de points dénis dans la QSR-5 à fort grossissement . . . . . 674.5 Images MEB de micromasques de Cr, obtenus par gravure humide avec la

solution commerciale 7S10 . . . . . . . . . . . . . . . . . . . . . . . . . . . 68

x

Page 15: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

4.6 Images MEB de micromasques de Cr, obtenus par gravure plasma à basede dichlore . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68

4.7 Image MEB en vue inclinée de nanopiliers de 200 nm de diamètre obtenuspar gravure humide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69

4.8 Images MEB en vue inclinée de réseaux de nanopiliers, obtenus par gravureRIE avec un plasma SF6 6 % à 190 W . . . . . . . . . . . . . . . . . . . . 70

4.9 Images MEB en vue inclinée et à fort grossissement de nanopiliers, obtenuspar gravure RIE avec un plasma SF6 6 % à 190 W . . . . . . . . . . . . . . 71

4.10 Images MEB en vue inclinée et à fort grossissement de nanopiliers, obtenuspar gravure RIE avec un plasma SF6 12 % à 190 W . . . . . . . . . . . . . 71

4.11 Epaisseur de SU-8 gravée en fonction de la durée du plasma O2 . . . . . . 734.12 Caractéristiques C-V des capacités métal/SU-8/silicium, à une fréquence

de 1 MHz sous éclairement. . . . . . . . . . . . . . . . . . . . . . . . . . . 744.13 Caractéristique C-V de l'échantillon C, à une fréquence de 1 MHz sous

éclairement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 744.14 Caractéristiques I-V des capacités métal/SU-8/silicium. . . . . . . . . . . . 754.15 Images MEB en vue inclinée de lignes de 200 nm de hauteur, fabriquées à

partir de C178P13, après étalement d'une couche SU-8 de 200 nm d'épais-seur et après gravure plasma O2 . . . . . . . . . . . . . . . . . . . . . . . . 75

4.16 Images MEB en vue inclinée de lignes de 200 nm de hauteur, fabriquées àpartir de C178P13, après étalement d'une couche SU-8 de 620 nm d'épais-seur et après gravure plasma O2 . . . . . . . . . . . . . . . . . . . . . . . . 76

4.17 Structure utilisée pour les simulations de transmittance avec TFCalc. . . . 774.18 Simulations de transmittance pour diérents métaux et pour diérentes

épaisseurs de métal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 784.19 Images MEB de contacts électriques . . . . . . . . . . . . . . . . . . . . . 794.20 Vue schématique de l'empilement du lot C520Pxx . . . . . . . . . . . . . . 804.21 Illustration du procédé de fabrication et d'isolation de nanostructures conte-

nant des nanocristaux déposés ou crus sur des plaques dépourvues de grillede polysilicium . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81

4.22 Images MEB de trous dans la résine SU-8, avec des nanocristaux de ger-manium . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82

5.1 Vues en coupe TEM de trois plaques du lot C178Pxx. . . . . . . . . . . . . 865.2 Photo du microscope électronique à balayage Zeiss Supra 55VP équipé d'un

système de cathodoluminescence, dans les salles blanches du CRN2. . . . . 885.3 Spectres de cathodoluminescence de C178P10, P13 et P16, et d'un oxyde

thermique . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 885.4 Images de réseaux de plots de diérents diamètres, fabriqués à partir de

C178P10, en CL et en électrons secondaires . . . . . . . . . . . . . . . . . 905.5 Images de réseaux de plots de diérents diamètres, fabriqués à partir de

C178P13, en CL et en électrons secondaires . . . . . . . . . . . . . . . . . 905.6 Caractéristiques C-V d'une structure MOS sans nanocristaux et d'une

structure avec nanocristaux de silicium après diérents stress en tension . . 915.7 Diagrammes de bandes d'énergie d'une structure métal/isolant/semicon-

ducteur en accumulation représentant le courant Fowler-Nordheim et lecourant tunnel direct . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 93

5.8 Caractéristique du courant limité par charge d'espace en fonction de latension . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95

xi

Page 16: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

5.9 Diagramme de bandes représentant la conduction Poole-Frenkel d'un élec-tron piégé dans un puits de potentiel. . . . . . . . . . . . . . . . . . . . . . 96

5.10 Diagramme de bandes représentant la conduction par sauts d'un électronpiégé dans un puits de potentiel. . . . . . . . . . . . . . . . . . . . . . . . . 96

5.11 Diagrammes de bandes d'une structure Al/SiO2/Si polarisée négativement,représentant la conduction assistée par pièges généralisée . . . . . . . . . . 97

5.12 Vue schématique des capacités fabriquées à partir des plaques C178P10,P13 et P16. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98

5.13 Caractéristiques C-V des capacités de 100×100 µm2 fabriquées à partir deC178P10 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99

5.14 Caractéristique C-V de la plaque C178P10, avec un balayage aller-retour. . 1005.15 Caractéristique I-V à température ambiante des capacités de 100×100 µm2

fabriquées à partir de C178P10 . . . . . . . . . . . . . . . . . . . . . . . . 1005.16 Courbes de courant en fonction du temps pour diérentes tensions de grille

en inverse, sous obscurité et sous éclairement. . . . . . . . . . . . . . . . . 1015.17 Caractéristique I-V à 80 K des capacités de 100×100 µm2 fabriquées à

partir de C178P10. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1025.18 Caractéristiques C-V des capacités de 100 × 100 µm2 fabriquées à partir

de C178P13 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1025.19 Caractéristiques C-V des capacités de 100 × 100 µm2, fabriquées à partir

de C178P13 en fonction de la vitesse de rampe . . . . . . . . . . . . . . . . 1035.20 Caractéristiques I-V et I-t des capacités de 100 × 100 µm2 fabriquées à

partir de C178P13, sous obscurité et sous éclairement . . . . . . . . . . . . 1045.21 Caractéristique I-V à 80 K des capacités de 100 ×100 µm2 fabriquées à

partir de C178P13. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1055.22 Caractéristique C-V des capacités de 100 × 100 µm2 fabriquées à partir de

C178P16, montrant un hysteresis, sous obscurité et sous éclairement . . . . 1055.23 Caractéristiques C-V des capacités fabriquées à partir de C178P16 en fonc-

tion de la vitesse de rampe . . . . . . . . . . . . . . . . . . . . . . . . . . . 1065.24 Caractéristiques I-V et I-t des capacités de 100×100 µm2 fabriquées à partir

de C178P16, sous obcurité et sous éclairement . . . . . . . . . . . . . . . . 1075.25 Vue schématique d'un nanoplot isolé par la SU-8 et avec un contact élec-

trique épais. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1085.26 Caractéristiques I-V de quelques nanopiliers de diérents diamètres fabri-

quées à partir de C178P13 . . . . . . . . . . . . . . . . . . . . . . . . . . . 1095.27 Mesures successives des caractéristiques I-V pour deux nanopiliers, l'un de

2 µm, et l'autre de 200 nm de diamètre. . . . . . . . . . . . . . . . . . . . 1105.28 Schéma du banc de caractérisation en photocourant. . . . . . . . . . . . . . 1115.29 Spectres de photocourant des capacités 100×100 µm2, en fonction de dif-

férentes tensions en polarisation inverse, à température ambiante. . . . . . 1125.30 Spectres de photocourant normalisés et puissance optique du système nor-

malisée. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1135.31 Photocourant des capacités 100×100 µm2, en fonction de diérentes ten-

sions en polarisation inverse, à température ambiante. . . . . . . . . . . . . 1135.32 Spectres de photocourant des capacités 100×100 µm2, à 80 et 300 K. . . . 114

xii

Page 17: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

LISTE DES TABLEAUX

3.1 Solutions de gravure humide pour diérents matériaux disponibles au CRN2 573.2 Systèmes de gravure et gaz chimiques utilisés pour diérents matériaux au

CRN2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58

4.1 Descriptif des plaques C178Pxx . . . . . . . . . . . . . . . . . . . . . . . . 644.2 Épaisseurs de la résine SU-8 après les étapes de recuit et de gravure. . . . . 734.3 Constantes diélectriques ε de la résine SU-8 à 1 MHz, extraites des courbes

C-V. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 744.4 Descriptif des plaques C520Pxx . . . . . . . . . . . . . . . . . . . . . . . . 804.5 Descriptif des échantillons EPxxxx et SiOxxx . . . . . . . . . . . . . . . . 80

A.1 Etapes détaillées du procédé utilisé pour la fabrication et l'isolation denanopiliers sur C178Pxx . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123

A.2 Paramètres d'exposition de la résine QSR-5, utilisés pour diérents motifsdans le logiciel NPGS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125

xiii

Page 18: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

xiv

Page 19: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

LISTE DES ACRONYMES

Acronyme DénitionAFM Atomic Force MicroscopeAOE Advanced Oxide EtchingASE Advanced Silicon EtchingBOE Buered Oxide EtchCCD Charge Coupled DeviceCMP Chemical Mechanical PolishingCRN2 Centre de Recherche en Nanofabrication et NanocaractérisationICP Inductively Coupled PlasmaINL Institut des Nanotechnologies de LyonLOCOS LOCalized Oxidation of SiliconLPCVD Low Pressure Chemical Vapour DepositionPADOX PAttern-Dependent OXydationPECVD Plasma Enhanced Chemical Vapour DepositionMEB Microscope Électronique à BalayageMOS Métal - Oxyde - SemiconducteurNPGS Nanometer Pattern Generation SystemRIE Reactive Ion EtchingSET Single Electron TransistorSHT Single Hole TransistorSOI Silicon On InsulatorSTM Scanning Tunneling MicroscopeTEM Transmission Electron Microscope

xv

Page 20: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

xvi

Page 21: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

CHAPITRE 1

Introduction

En 1905, Einstein explique pour la première fois l'eet photoélectrique sur un métal,déjà observé par Becquerel en 1839 et par Hertz en 1887. Einstein s'inspire du conceptde particule de lumière, le quantum, que l'on nomme aujourd'hui photon, introduit parPlanck qui a expliqué l'émission des corps noirs. Cette explication est une révolution pourla physique et a contribué à la naissance de la physique quantique au début du 20èmesiècle. Elle vaudra à Einstein un prix Nobel de physique en 1920.

Grâce à l'eet photoélectrique, de nombreux photodétecteurs ont vu le jour. Ils sontgénéralement à base d'un métal ou d'un semiconducteur. Les photodétecteurs sont essen-tiellement utilisés dans le domaine des sciences, telles que la médecine, l'astrophysiqueet les télécommunications. Parmi tous les dispositifs actuellement disponibles, on peutciter le fameux capteur à transfert de charges CCD (Charge Coupled Device) qui connaîtun gros succès auprès des astronomes et auprès du grand public pour le marché de laphotographie et de la vidéo numérique. En eet, mis au point par les laboratoires Bell en1970 [Alcatel-Lucent, 2002], le capteur CCD a été adopté rapidement en astrophotogra-phie professionnelle dans les années 80, car il ore une sensibilité (ecacité quantique)exceptionnelle par rapport à la pellicule argentique et permet d'étudier des astres dontla magnitude est élevée. Ensuite les appareils photographiques numériques grand publicsont apparus dans les années 90, dont les ventes ont explosé au début des années 2000.Outre la sensibilité des capteurs CCD, la numérisation de l'information est aussi un grosavantage. Les chercheurs Willard Boyle et George Smith ont reçu en 2009 le prix Nobelde Physique pour leurs travaux sur les capteurs CCD.

Aujourd'hui, les astronomes souhaitent des capteurs CCD plus performants. Aussi denouvelles applications sont apparues et elles nécessitent une sensibilité très grande desphotodétecteurs, an de pouvoir détecter de très faibles niveaux de radiations, tels quela uorescence et la luminescence de marqueurs dans des molécules biologiques uniques[Kumbhakar et al., 2004], voire de pouvoir compter des photons un par un, ce qui est im-portant pour l'informatique quantique et la communication quantique [Sergienko, 2008].Le courant d'obscurité, qui est dû à une génération aléatoire d'électrons et de trous lors-qu'aucun photon n'est capté par le photodétecteur, doit alors être très faible par rapportau photocourant. Le courant d'obscurité provient d'un processus activé thermiquement,par conséquent la plupart des photodétecteurs sont refroidis à l'azote ou l'hélium liquidean d'améliorer le rapport signal/bruit. Le refroidissement permet aussi de réduire le bruitthermique. Il existe deux photodétecteurs conventionnels qui permettent la détection d'unou de quelques photons : le tube photomultiplicateur et la photodiode à avalanche en mode

1

Page 22: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

(a) (b)

Figure 1.1 (a) Photo de la lune prise avec le premier capteur CCD commercialfabriqué par la compagnie Fairchild, de 100 × 100 pixels, couplé à un télescopede 8 pouces, en 1974 [ASTROLab, 2006]. (b) Photo de la mosaïque de capteursCCD Megacam, utilisée sur le télescope Canada-France-Hawaï. Elle est compo-sée de 40 capteurs de 2048 × 4612 pixels chacun. 36 capteurs sont utilisées pourfaire des images, ce qui fait un total de 340 millions de pixel [Veillet, 2003].

Geiger. Ces deux dispositifs utilisent chacun un mécanisme physique d'amplication quiaugmente le rapport signal/bruit. Dans un photomultiplicateur, les photons frappent unephotocathode qui génère par la suite des photo-électrons. Ces derniers sont accélérés suc-cessivement vers des dynodes, où ils sont multipliés par le processus d'émission secondaire.Dans une photodiode à avalanche en mode Geiger, la jonction p-n est fortement polariséeen inverse au-dessus de la tension de claquage. Un photon génère une paire électron-trou, qui est séparée par le champ électrique de la zone de charge d'espace. L'électron estaccéléré par le champ électrique intense et crée d'autres électrons grâce à l'impact par io-nisation des atomes du réseau cristallin du matériau. Bien que le tube photomultiplicateurprésente un gain de courant important (> 106 ), il est encombrant, les tensions utiliséessont très élevées (1000 à 2000 V), et son ecacité quantique est relativement faible surune petite plage de longueurs d'onde. La photodiode à avalanche en mode Geiger présenteune meilleure ecacité quantique sur une plage étendue de longueurs d'onde (> 70 %),mais est très sensible à la température. Sur la base des deux phénomènes d'amplicationprésentés ci-dessus, d'autres dispositifs ont vu le jour : la photodiode à avalanche hybride(Hybrid Avalanche Photodiode), le multiplicateur silicium (Si-photomultiplier), le comp-teur photonique de lumière visible (Visible Light Photon Counter). Ces deux phénomènesont aussi été combinés avec des capteurs CCD pour améliorer leur sensibilité : l'ionisa-tion par impact est utilisée dans l'EM-CCD (Electron Multiplying CCD) et L'EB-CCD(Electron-Bombardment CCD), alors que l'émission secondaire se retrouve dans l'I-CCD(Intensied CCD) [Andor, 2005, 2009; Hamamatsu, 2009].

Il existe une alternative intéressante aux mécanismes physiques d'amplication pour ladétection de faible niveaux de lumière : le blocage de Coulomb. Le blocage de Coulomb

2

Page 23: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

(a) I-CCD (b) EB-CCD

(c) EM-CCD

Figure 1.2 Illustrations de capteurs CCD commerciaux utilisés pour la détec-tion de faibles niveaux de lumière [Hamamatsu, 2009].

est un phénomène monoélectronique, basé sur la répulsion coulombienne et l'eet tunnel.Largement étudié en recherche, il permettrait de repousser les limites physiques et techno-logiques des transistors MOS, grâce au transistor à un électron. Il pourrait aussi servir à lafabrication d'électromètres ultra-sensibles. Ainsi on peut imaginer aisément que quelquesporteurs photo-générés dans un matériau puissent être détectés grâce au phénomène dublocage de Coulomb.

Ce travail de thèse propose la fabrication et la caractérisation d'un photodétecteur ultra-sensible dans le visible, contenant des nanocristaux de silicium. Il s'inscrit dans une col-laboration franco-québécoise entre le Centre de Recherche en Nanofabrication et Nano-caractérisation de Sherbrooke (CRN2), et l'Institut des Nanotechnologies de Lyon (INL).Le développement du procédé et la fabrication des nanostructures ont été faits au CRN2.La caractérisation des dispositifs a été eectuée à l'INL.

Le deuxième chapitre présente les principaux eets de la réduction des dimensions surles propriétés électroniques et optiques du silicium. Le blocage de Coulomb y est aussiprésenté avec les réalisations majeures de dispositifs basés sur le phénomène.

Dans le troisième chapitre, nous parlons des diérentes méthodes de d'élaboration denanocristaux de silicium, et présentons les diérents techniques de nanofabrication dispo-nibles au CRN2.

3

Page 24: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Le chapitre 4 présente le procédé de fabrication de nanostructures contenant des nano-cristaux de silicium, et leur isolation électriques an de pourvoir les caractériser électri-quement. L'application de ce procédé pour d'autres nanocristaux y est aussi démontrée.

Dans le chapitre 5, nous présentons les propriétés de luminescence des nanocristaux, etles caractérisations électriques et opto-électriques de micro- et nanostructures fabriquées.Nous discuterons du lien entre le photocourant et les nanocristaux.

4

Page 25: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

CHAPITRE 2

Les nanocristaux de silicium, vers des appli-cations mono-électroniques

Dans ce deuxième chapitre, nous allons présenter les propriétés électroniques et optiquesdu silicium et l'eet de la réduction des dimension sur ses propriétés. Ensuite nous ex-pliquerons le phénomène de blocage de Coulomb, par une approche capacitive et ensuitepar une approche qualitative, plus simple. Nous décrirons les principaux dispositifs quiutilisent ce phénomène, notamment à base de nanocristaux de silicium, aussi bien pourdes applications analogiques que pour des applications numériques. Enn nous concluronspar le contexte de l'étude.

2.1 Du silicium massif à la boîte quantique

2.1.1 Propriétés électroniques et optiques du silicium massif

Le silicium présente un gap indirect de 1.12 eV à 300K. En eet, sa structure de bandesd'énergie montre que la bande de conduction n'est pas centrée sur la zone de Brillouin,contrairement à la bande de valence. Le minimum de la bande de conduction se trouvedans la direction ∆, correspondant à la direction (100), juste avant la limite de la premièrezone de Brillouin, à k0 ≈ 0.85kX . Vu que le silicium possède une structure cubique, il existe6 directions équivalentes qui sont (100), (100), (010), (010), (001) et (001) pour lesquellesil existe des minima. Le silicium est ainsi un semiconducteur multivallées à 6 vallées ∆.

Figure 2.1 Structure debandes du silicium suivantles directions de plus hautesymétrie Λ (111), ∆ (100) etΣ (110), calculée à partir dumodèle du pseudopotentiel local(trait en pointillés) et non local(trait continu) [Chelikowsky etCohen, 1974]

5

Page 26: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Un électron dans la bande de conduction ou un trou dans la bande de valence, possèdeune masse eective m?, due à l'inuence du réseau cristallin du semiconducteur. Elleest inversement proportionnelle à la dérivée seconde de l'énergie E(k), c'est-à-dire à lacourbure des bandes d'énergie dans l'espace des ~k.

m?e =

~d2E/dk2

(2.1)

La variation E(k) de l'énergie de la bande de conduction au voisinage du minimum n'estpas isotrope, elle est moins rapide dans l'axe considéré que dans le plan perpendiculaireà cet axe. Les surfaces d'énergie constante sont ainsi des ellipsoïdes de révolution autourde chacun des axes équivalents et centrés sur chaque minimum équivalent k0. On parle demasse eective longitudinaleml pour les électrons de vecteur ~k suivant l'axe de l'ellipsoïde,et de masse eective transverse mt pour les électrons de vecteur ~k perpendiculaire à cetaxe. Pour le silicium, l'approximation des bandes paraboliques au voisinage du minimumdonne ml = 0.98me et mt = 0.19me, me étant la masse de l'électron.

[001]

[100]

[010]

Figure 2.2 Représentation schématique des surfacesd'énergie constante des bandes de conduction du si-licium. Les 6 minima équivalents correspondent auxcentres des ellipsoïdes. Pour chacun de ces minima, ml =0.98me et mt = 0.19me.

Le fait que le gap du silicium soit indirect a une conséquence importante sur l'interactiondu semiconducteur avec un rayonnement électromagnétique. Dans le cas des semiconduc-teurs à gap direct, tel que l'arséniure de Gallium GaAs, la transition d'un électron entre lesbandes de valence et de conduction, lors de l'absorption ou l'émission d'un photon, se faitverticalement dans l'espace des k. Il y a conservation du vecteur d'onde et on parle ainside transitions radiatives. Dans le cas du silicium, les extrema des bandes de conduction etde valence ne sont pas alignés. Par conséquent la conservation du vecteur d'onde ~k n'estplus respectée, on parle de transitions non radiatives. La participation d'une troisièmeparticule est nécessaire pour la conservation de la quantité de mouvement : le phonon,associé à l'énergie échangée avec le réseau cristallin. La probabilité d'interaction entre les3 particules photon-électron-phonon est plus faible que celle entre l'électron et le photondans un semiconducteur à gap direct.

On comprend ainsi pourquoi les matériaux à gap direct sont essentiellement utilisés pourfaire des émetteurs (diodes électroluminescentes et lasers) à bon rendement. Les matériauxà gap indirect, tels que le silicium et le germanium, sont cependant très utilisés pour laphotodétection.

6

Page 27: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

BC

BV

émission

absorption

+++++ +

++

− −− − −− − −− − −

+ + +

(a)

+

phonon émis

BV

BC

absorption

++

+

−−−− −

−−− −

++++ +

++

(b)

+

émission

phonon absorbé

BV

BC

++

+

−−−− −

−−− −

++++ +

++

(c)

Figure 2.3 Schéma de structures de bandes illustrant l'émission et l'absorp-tion d'un photon. (a) Transitions directes dans un semiconducteur à gap direct.(b) Absorption d'un photon accompagnée de l'émission d'un phonon dans unsemiconducteur à gap indirect. (c) Émission d'un photon accompagnée de l'ab-sorption d'un phonon.

2.1.2 Le connement quantique et la boîte quantique de silicium

Le connement quantique

Le connement quantique dénit l'eet de la réduction des dimensions sur les propriétésélectroniques des nanostructures. Dans un cristal parfait inni, les porteurs sont décritspar une onde de Bloch se propageant dans un champ périodique inni. Lorsque les dimen-sions deviennent comparables à la longueur d'onde associée aux porteurs de charge dansles bandes de conduction et de valence, dénie par la longueur d'onde de De Broglie, onparle de connement dans le puits de potentiel. Par conséquent, le modèle périodique deszones de Brillouin et la description des porteurs dans le cristal à l'aide des fonctions deBloch sont aux limites de leur validité.

Modélisation : le puits et la boîte quantique à puits de potentiel innies

Considérons un puits de potentiel de largeur L. Les électrons à l'intérieur du puits sontmodélisés comme des particules libres, avec une masse eective dépendant de la directioncristallographique comme nous l'avons vu précédemment. Pour les trous, la masse eectivedes trous lourds qui occupent le haut de la bande de conduction sera considérée : mhh =

0.47me. La masse eective intègre l'inuence du potentiel cristallin sur les particules au

7

Page 28: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

voisinage des extrema. Ainsi le potentiel cristallin peut être négligé dans l'équation deSchrödinger. On parle alors d'approximation de la masse eective. A l'extérieur du puits,l'oxyde de silicium SiO2 constitue la barrière de potentiel.

Dans la littérature nous retrouvons plusieurs modèles de calculs des niveaux d'énergiedans ce type de puits, d'après l'équation de Schrödinger. Je présenterai le modèle le plussimple, qui rend déjà bien compte des eets du connement quantique. Néanmoins jeparlerai de ses limitations.

Le modèle du puits avec des barrières de potentiel inni est le modèle le plus simple.L'innité de la barrière se justie par le fait que l'ordre de grandeur des énergies de con-nement est bien inférieur à la hauteur de barrière Si/SiO2, qui est typiquement de 3 eV.Par conséquent on suppose qu'aucun électron ne passe du semiconducteur à l'isolant. Onpeut retrouver le détails de la résolution de l'équation de Schrödinger dans tout ouvragequi traite de la physique des semiconducteurs [Mathieu, 1998; Hanson, 2007].

Les conditions aux limites barrière/puits sont telles que U = 0 pour |z| < L/2 et U = ∞pour |z| > L/2. L'équation de Schrödinger pour une dimension devient alors :

− ~2

2m

d2Ψ(x)

dx2= EΨ(x), pour|x| < L

2(2.2)

La solution de cette équation est une suite discrète de niveaux d'énergie En, n étant l'undes nombres quantiques :

En =~2k2

n

2m?=~2

2m?

(nπ2

)2

, n ∈ N (2.3)

On obtient ainsi la position des niveaux connés par rapport à la bande de conductionou à la bande de valence du matériau massif. Pour une boîte quantique, les énergies deconnement se calculent de la même façon dans les trois directions x, y et z et en tenantcompte de l'anisotropie de la masse eective de l'électron.

Exnx,ny,nz

=~2π2

2

[1

mL

(nx

Lx

)2

+1

mT

[(ny

Ly

)2

+

(nz

Lz

)2]]

(2.4)

Eynx,ny,nz

=~2π2

2

[1

mL

(ny

Ly

)2

+1

mT

[(nx

Lx

)2

+

(nz

Lz

)2]]

(2.5)

Eznx,ny,nz

=~2π2

2

[1

mL

(nz

Lz

)2

+1

mT

[(nx

Lx

)2

+

(ny

Ly

)2]]

(2.6)

Pour une boîte quantique cubique, c'est-à-dire pour Lx = Ly = Lz = L, , les niveauxd'énergie sont :

8

Page 29: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Enx,ny,nz =~2π2

2L2

(n2x

mL

+n2y + n2

z

mT

)(2.7)

En appliquant le même raisonnement, on peut calculer les niveaux d'énergie pour les trousen considérant la masse eective des trous lourds. La bande interdite de la boîte quantiquese calcule en ajoutant le niveau d'énergie le plus bas pour les électrons et celui pour lestrous, c'est-à-dire à n = 1, au gap du matériau massif. Sans faire de calculs poussés, onremarque que le gap variera en 1/L2. Ainsi le gap s'élargira lorsque les dimensions dumatériau diminuent.

Limitations du modèle et autres modèles

Nous avons considéré dans le modèle présenté une barrière de potentiel innie pour lecouple Si/SiO2. Pour le calcul des premiers niveaux d'énergie, qui sont inférieurs à 1 eV

pour des boîtes relativement grosses (L > 10 nm), le modèle est valable. Pour des boîtesplus petites, l'écart entre les niveaux d'énergie et la hauteur de barrière devient faible, etl'eet du connement quantique est par conséquent surestimé. De plus, pour un oxydemince (< 10 nm), l'électron peut passer du semiconducteur à l'isolant par eet tunnel.Ainsi le modèle du puits et de la boîte quantique à barrières nies est plus réaliste.

La considération de la forme des îlots de silicium permettrait aussi d'améliorer les cal-culs. Les nanocristaux de silicium, comme d'autres nanocristaux, peuvent avoir une formesphérique ou hémisphérique (voir chapitre 3). En introduisant des coordonnées sphériqueset une masse eective isotrope du silicium, il est possible de résoudre l'équation de Schrö-dinger [Bányai et Koch, 1993; Palun, 2000; Sée, 2003].

La présence d'un ou de deux électrons sur le niveau fondamental est aussi à considérer[Babi¢ et al., 1992; Allan et al., 1995]. En eet, un électron présent dans l'îlot est sous l'in-uence de la polarisation qu'il induit dans l'oxyde, à cause de la diérence des constantesdiélectriques entre le silicium et son oxyde. Lorsqu'il y a deux électrons sur le niveaufondamental, il faut prendre en compte la polarisation propre de chaque électron et larépulsion coulombienne.

L'approximation de la masse eective n'est pas la seule méthode de calcul du gap. Il existeaussi des méthodes beaucoup plus complexes mais plus précises, telles que la méthode desliaisons fortes et celle du pseudopotentiel. Nous ne rentrerons pas dans les détails car ilserait complexe et fastidieux de le faire. On peut cependant citer Delerue et al. qui, grâceà la méthode des liaison fortes, donnent la variation de la bande interdite de nanocristauxsphériques passivés à l'hydrogène, comme pour le silicium poreux : elle varie en 1/d1.39, détant le diamètre en nanomètres [Proot et al., 1992; Delerue et al., 1993]. Wang et Zungerretrouvent cette tendance par la méthode du pseudopotentiel [Wang et Zunger, 1994].

9

Page 30: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Eet du connement quantique sur les propriétés optiques des nanostructures desilicium

L'augmentation de la bande interdite avec la diminution des dimensions des nanostruc-tures provoque un décalage de l'infra-rouge vers le bleu. Le connement spatial des élec-trons et des trous accroît aussi l'incertitude sur le mouvement. Par conséquent des tran-sitions sans phonons peuvent apparaître. Les premiers calculs théoriques montrent que laprobabilité de recombinaison radiative sans phonon augmente avec le connement quan-tique [Delerue et al., 1993]. Il a été démontré que les processus sans phonon dominentlorsque le décalage dû au connement quantique est supérieur à 0.8− 1 eV dans le si-licium poreux [Hybertsen, 1994; Kovalev et al., 1999]. On parle alors de comportementquasi-direct. Cette rupture de la règle de conservation du moment est positive pour desapplications optiques avec des nanostructures de silicium. Delerue et al. montrent que,pour des nanocristaux de silicium de forme sphérique dont le gap est supérieur à 2.5 eV, ladurée de vie de recombinaison radiative passe de la milliseconde, pour le silicium massif,à la microseconde [Delerue et al., 1993]. Cependant ce temps reste élevé par rapport à unmatériau à gap direct qui présente une durée de vie radiative de l'ordre de la nanoseconde.

2.2 Eets de la réduction des dimensions sur le trans-port électronique : le blocage de Coulomb

2.2.1 Principe du blocage de Coulomb

G.C. Gorter [Gorter, 1951] fut l'un des premiers à mettre en évidence, indirectement,le phénomène de blocage de Coulomb : il observa un comportement inhabituel de larésistance de couches minces métalliques à basse température et pour de faibles tensions.Ce comportement peut s'expliquer si l'on considère ces couches minces comme des réseauxde grains métalliques séparés par des barrières tunnel. Suite à d'autres observations duphénomène [Neugebauer et Webb, 1962; Giaever et Zeller, 1968; Lambe et Jaklevic, 1969],Kulik et Shekter [Kulik et Shehkter, 1975] jettent les premières bases de la théorie dublocage de Coulomb, la théorie orthodoxe, en étudiant un grain métallique séparé de deuxélectrodes par un oxyde mince. Averin et Likharev [Averin et Likharev, 1991] généralisentcette théorie orthodoxe à d'autres systèmes tels que le transistor à un électron et lamémoire à un électron. Le blocage de Coulomb est le résultat de l'association de l'eettunnel d'origine quantique, et de la répulsion coulombienne, phénomène électrostatiqueclassique. Dans cette section, nous présenterons d'abord le blocage de Coulomb pour ledispositif élémentaire qu'est la boîte à un électron, et ensuite pour le transistor à unélectron. Nous parlerons ensuite des diérentes méthodes de fabrications du transistorSET, et des applications mono-électroniques possibles, en justiant l'intérêt d'utiliser desnanostructures de silicium.

10

Page 31: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Approche capacitive et thermodynamique

Pour comprendre le fonctionnement d'un transistor à un électron, nous allons présenterune méthode générale de calcul dont le principe est de calculer, comme pour un systèmethermodynamique, l'énergie libre du dispositif, et d'étudier la variation de cette énergie.Ces calculs peuvent se retrouver dans l'ouvrage de H. Grabert et M.H. Devoret [Grabert etDevoret, 1992] et l'article de Tucker [Tucker, 1992]. Nous présenterons d'abord les calculspour une double jonction tunnel et ensuite pour un transistor à un électron.

La double jonction tunnel Considérons un îlot central couplé via deux jonctionstunnel à des électrodes ou réservoirs, tel que représenté sur la gure 2.4.

électrodeîlot

jonctions tunnel

électrode

(a)

V

V2

QC2, R2

V1

C1, R1

i

(b)

Figure 2.4 La double jonction tunnel. (a) Vue schématique d'une double jonc-tion tunnel. (b) Circuit équivalent d'une double jonction tunnel.

La double jonction tunnel est polarisée par une source de tension idéale. Les charges pourchaque jonction sont :

Q1 = C1V1

Q2 = C2V2

(2.8)

La charge totale du système s'écrit :

Q = Q2 −Q1 +Qoff = −ne+Qoff (2.9)

où n = n1 − n2 représente le nombre d'électrons excédentaires dans l'îlot, avec n1 et n2

correspondant au nombre d'électrons qui ont traversé respectivement la première et laseconde jonction tunnel. La charge d'oset Qoff est en général un décalage de charge nonentier dû soit à des capacités parasites soit à des impuretés localisées près de l'îlot. V étantla somme des potentiels à chacune des jonctions : V = V1 + V2, nous obtenons alors :

V1 =C2V + ne−Qoff

et V2 =C1V − ne+Qoff

avec CΣ = C1 + C2 (2.10)

11

Page 32: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

L'énergie électrostatique stockée dans la double jonction est :

EQ =Q1

2

2C1

+Q2

2

2C2

=C1C2V

2 + (ne−Qoff )2

2CΣ

(2.11)

Nous avons besoin aussi du travail fourni par la source de tension an de calculer l'énergielibre totale du circuit. Lorsque qu'un électron traverse la première jonction par eet tunnel,la tension V1 change de la quantité − e

et la charge Q1 de −eC1

d'après les équations(2.8) et (2.10). La charge Q1 diminue, ce qui signie que la source de tension reçoit cettecharge. La charge totale qui doit être remplacée par la source de tension est alors −eC2

CΣet le travail fourni par la source dans le cas de passages tunnel à travers les jonctions 1 et2 est alors :

W1 = −n1eC2

V et W2 = −n2eC1

V (2.12)

L'énergie libre du circuit est alors donnée par :

F (n1, n2) = EQ −W1 −W2

=1

(12(ne−Qoff )

2 + eV (C1n2 + C2n1))+ cste (2.13)

La variation d'énergie libre pour un évènement tunnel à travers la première jonction estdonnée par :

∆F±1 = F (n1 ± 1, n2)− F (n1, n2) =

e

(e2± (V C2 + ne−Qoff )

)(2.14)

Pour la deuxième jonction, la variation est donnée par :

∆F±2 = F (n1, n2 ± 1)− F (n1, n2) =

e

(e2± (V C1 − ne+Qoff )

)(2.15)

Seules les transitions induisant une variation négative de l'énergie libre sont permises,c'est-à-dire les transitions vers un état de plus basse énergie. Si l'on considère un îlot nonchargé avant les transitions, c'est-à dire n = 0 et Qoff = 0, ∆F sera positif jusqu'à ce quela tension de polarisation V soit supérieure à un seuil qui est fonction de la plus petite desdeux capacités. Pour une double jonction symétrique (C1 = C2 = CΣ/2), le passage desélectrons dans l'îlot est possible à condition que |V | > e

. Le blocage de charges pour defaibles polarisations est ainsi appelé le blocage de Coulomb. Dans les expressions (2.14)et (2.15), nous retrouvons le terme e2

2CΣ

qui correspond à l'énergie de Coulomb, qui doitêtre surmontée pour qu'un évènement tunnel se produise.

12

Page 33: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

V

I

e

CΣ− e

(a)

5

4

3

2

1

97531

V (e

2C1)

I(e

R1CΣ)

(b)

Figure 2.5 Caractéristiques I-V idéales d'une double jonction tunnel. (a) Jonc-tions tunnel symétriques avec R1 = R2 (C1 = C2 = CΣ/2.) (b) Jonctions tunnelasymétriques avec R1 À R2.

Lorsqu'un électron rentre dans l'îlot, en traversant la jonction 1 par exemple, l'îlot pos-sédera n = 1 électron en excès. Il est alors énergétiquement favorable pour qu'un autreélectron quitte l'îlot via la jonction 2 et aucun électron ne pourra traverser la premièrejonction tant que cet évènement n'aura pas lieu. Il se crée ainsi un ensemble corrélé detransferts d'électrons. Dans le cas où les jonctions sont symétriques, il apparaît, au-dessusde la tension seuil, un courant résistif lié à la résistance des jonctions tunnel. La pentede courbe courant-tension au-delà du seuil est 1/2Rt avec Rt = R1 = R2. Dans le casoù R1 À R2 et V > 0, les électrons qui rentrent dans l'îlot via la deuxième jonctionne peuvent en sortir immédiatement via la première jonction. Les électrons s'accumulentdans l'îlot qui restera donc peuplé. Il faudra appliquer une plus forte polarisation pourpermettre à un électron de sortir de l'îlot, ce qui entraînera l'entrée d'un autre électrondans l'îlot. D'après l'équation 2.10, une augmentation de la tension V de ∆V augmentela tension V2 de la quantité ∆V2 = ∆V C1/CΣ et le passage d'un électron dans l'îlot di-minue V2 de ∆V2 = e/CΣ. Ainsi le passage d'un autre électron est possible à conditionque ∆V > e/C1. Pour la jonction 1, l'arrivée d'un nouvel électron dans l'îlot provoque unaugmentation de la tension V1 de ∆V1 = e/CΣ. Par conséquent le passage de l'électronà travers la 2ème jonction entraîne une variation de courant ∆I = e/(R1CΣ). Ainsi lacaractéristique courant-tension d'une double jonction tunnel asymétrique apparaît alorssous la forme de marches d'escalier ascendantes, que l'on nomme marches coulombiennes.Lorsque R2 À R1 et V > 0, l'îlot se retrouve dépeuplé car les charges qui quittentl'îlot ne sont pas immédiatement remplacées et la caractéristique I-V montre des marchescoulombiennes descendantes.

Le transistor à un électron En ajoutant à la double jonction tunnel une électrodede grille, le courant qui traverse l'îlot pourra être contrôlé par couplage capacitif. Untransistor à un électron est ainsi obtenu. Grâce à la grille, il est possible de modier lacharge dans l'îlot. La gure ci-dessous présente une vue schématique du transistor SETet son circuit équivalent.

13

Page 34: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

îlot

grille

drainsource

(a)

C1, R1 C2, R2

V2

Q

VG

CG

V1

i

V

(b)

Figure 2.6 Le transistor à un électron. (a) Vue schématique d'un SET. (b)Circuit équivalent d'un SET.

La charge totale de l'îlot devient :

Q = −ne+Qoff + CG(VG − V2) (2.16)

En remplaçant Qoff par Qoff +CG(VG − V2) dans (2.10), les nouvelles tensions des deuxjonctions sont alors :

V1 =(C2 + CG)V − CGVG + ne−Qoff

V2 =C1V + CGVG − ne+Qoff

avec CΣ = C1 + C2 + CG (2.17)

L'énergie stockée dans la capacité de grille doit être ajoutée dans le calcul de l'énergieélectrostatique et le travail fourni par la grille doit être pris en compte dans la calcul del'énergie libre du système :

F (n1, n2) =1

[1

2(ne−Qoff )

2 + n1e((C2 + CG)V − CGVG

)+ n2e(C1V + CGVG)

]+cste

(2.18)

Les variations d'énergie libre après un évènement tunnel à travers les jonctions deviennentalors respectivement :

∆F±1 =

e

(e2± (

(C2 + CG)V − CGVG + ne−Qoff

))(2.19)

∆F±2 =

e

(e2± (

C1V + CGVG − ne+Qoff

))(2.20)

14

Page 35: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Dans les équations (2.19) et (2.20), nous retrouvons le terme e2

2CΣ

qui correspond à l'éner-gie de Coulomb. Plaçons-nous dans le cas où la variation de l'énergie est nulle :

∆F±1 = 0

∆F±2 = 0

=⇒

e

2± (

(C2 + CG)V − CGVG + ne)= 0

e

2± (

C1V + CGVG − ne)= 0

(2.21)

Les valeurs de V en fonction de VG, pour lesquelles le blocage de Coulomb est eectif,peuvent être ainsi déterminées :

1

CG + C2

(−ne− e

2+ CGVG) < V <

1

CG + C2

(−ne+e

2+ CGVG)

1

C1

(ne− e

2− CGVG) < V <

1

C1

(ne+e

2− CGVG)

(2.22)

D'après ces conditions, il est alors possible de tracer le diagramme de stabilité du transistorà un électron. La gure 2.7 donne un exemple de diagramme. Il apparaît une successionde droites parallèles de pentes positive ( CG

CG + C2

) et négative (−CG

C1

). Les intersectionsde ces droites forme des parallélogrammes.

n = 2, 1, 0n = 0,−1,−2

n = −1,−2 n = 2, 1n = 1, 0

n = 2n = 13e

2CG

5e

2CG

V

VG

e

− 5e

2CG− 3e

2CG− e

2CG

e

2CG

n = 0n = −1n = −2

Figure 2.7 Diagramme de stabilité ou de Coulomb pour un transistor à unélectron

Les zones grises correspondent aux régions stables du diagramme appelées diamants deCoulomb, dans lesquels le nombre d'électrons excédentaires dans l'îlot est xe et ne peutvarier. Le transistor est ainsi bloqué. En dehors des diamants de Coulomb, le nombred'électrons dans l'îlot peut uctuer entre certains nombres. Le transistor n'est alors plusbloqué et des passages tunnel d'électrons sont possibles. Le blocage de Coulomb est eectifà condition que |V | < e

. Si on fait varier la tension de grille pour une faible tension

15

Page 36: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

V, les états bloqués et passants sont alternés, et la caractéristique courant drain-sourceen fonction de la tension de grille montre des pics de courant, aux valeurs de tensionde grille ne

CG

+e

2CG

. Ces pics périodiques sont nommés oscillations coulombiennes, etcorrespondent au passage d'un électron à travers l'îlot.

5e

2CG

3e

2CG

e

2CG− e

2CG

VG

I

Figure 2.8 Caractéristique I−VG idéale d'un tran-sistor à un électron, pour V < e/CΣ.

Approche qualitative

A partir de l'approche capacitive, on peut dresser le diagramme de bandes d'une doublejonction tunnel et d'un transistor à un électron pour comprendre facilement le phénomènedu blocage de Coulomb. La gure 2.9 représente les niveaux d'énergie d'un transistorSET, avec une double jonction tunnel symétrique, selon trois modes de fonctionnement :le régime bloqué, le régime ohmique et le régime débloqué par la grille.

EFV

e−e

EF

(a)

V

EF

EF

e

e−

(b)

EFV

VG e−

e

EF

(c)

Figure 2.9 Diagrammes de bandes d'énergie représentant les 3 régimes de fonc-tionnement d'un transistor SET : (a) régime bloqué, (b) régime ohmique, (c)régime débloqué par la grille.

16

Page 37: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Le régime bloqué A l'équilibre thermique, l'énergie de charge de l'îlot fait apparaîtreune bande interdite d'énergie, que l'on appelle gap de Coulomb, de valeur e2/CΣ. Elleest symétrique par rapport au niveau de Fermi de l'îlot si les jonctions sont symétriques.Aucun électron ne peut aller dans l'îlot du réservoir de gauche ou de droite. Il faut fournirune énergie supérieur au gap de Coulomb pour qu'il y ait un évènement tunnel.

Le régime ohmique Lorsque qu'on fournit une énergie supérieure à e2/2CΣ, un électronpeut traverser l'une des deux jonctions par eet tunnel pour entrer dans l'îlot. Ainsi ilfaut appliquer une tension telle que |V | > e/CΣ. Il faut cependant que cet électron quittel'îlot pour qu'un deuxième électron puisse aller dans l'îlot, et ainsi de suite.

Le régime débloqué par la grille Dans la situation du régime bloqué, lorsque latension |V | < e/CΣ, il est possible d'abaisser le niveau de Fermi de l'îlot en appliquantune tension de grille et ainsi un électron peut passer par l'îlot. Le contrôle de la tensionde grille permet de passer de l'état bloqué à l'état passant et inversement.

2.2.2 Conditions d'application du blocage de Coulomb

Pour observer le blocage de Coulomb, il existe deux conditions qui font partie de la théorieorthodoxe. Premièrement, l'énergie de Coulomb du système doit être supérieure à l'énergiethermique :

EC =e2

2CΣ

À kBT (2.23)

kB étant la constante de Boltzmann, T la température du système et CΣ la capacité totaledu système. Si le bruit thermique est important, les uctuations ne permettront pas decontrôler avec précision les charges et le blocage de Coulomb disparaîtra. Pour observerle phénomène de blocage à température ambiante, la capacité doit être de l'ordre del'attofarad (1aF). Ainsi les capacités des jonctions tunnel et de la grille sont importants.La taille de l'îlot est à considérer aussi.

Deuxièmement, les jonctions tunnel doivent être susamment opaques pour que les élec-trons soient bien localisées dans l'îlot. D'après le principe d'incertitude d'Heisenberg, larésistance tunnel doit remplir la condition suivante :

Rt À RQ =h

e2= 25.8 kΩ (2.24)

RQ étant la résistance quantique. Cette valeur de résistance doit être vue comme unordre de grandeur, plutôt qu'une valeur exacte. Les calculs théoriques pour obtenir cetterésistance quantique peuvent se trouver dans quelques articles [Brown et imánek, 1986;Zwerger et Scharpf, 1991; Flensberg, 1993; Henrickson et al., 1994].

17

Page 38: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

2.2.3 Le blocage de Coulomb dans les semiconducteurs

La théorie orthodoxe que nous avons présentée est applicable pour les îlots métalliques. Lesîlots métalliques possèdent un nombre important d'électrons libres et ne sont en généralpas soumis aux eets du connement quantique. Par contre, dans les semiconducteurs, ilpeut être présent. Efros et Efros dénissent trois régimes de connement quantique, quidépendent du rapport entre le rayon de l'îlot R et le rayon de Bohr de l'électron ae, dutrou ah et de l'exciton aex [Efros et Efros, 1982; Bányai et Koch, 1993]. Le connement fortse produit lorsque R < aex. Le connement est intermédiaire lorsque ah < R < ae. Ennil est faible quand R À aex. Pour le silicium, aex ≈ 4.9 nm. Ainsi pour des îlots de siliciumdont le diamètre est inférieur à 5 nm, on peut s'attendre à une discrétisation prononcée desniveaux. L'espacement entre les niveaux discrets ∆ε devient ainsi comparable à l'énergiede charge EC . L'énergie totale du système avec un îlot qui contient n électrons s'écrit :

En =n∑

i=1

εi + F (n1, n2) (2.25)

On dénit le potentiel électrochimique de l'îlot correspondant à l'énergie nécessaire pourajouter un électron à l'îlot :

µn = En − En−1 (2.26)

En utilisant l'équation 2.18, nous trouvons :

µn = εn + (n− 1

2)e2

− CG

eVG − C1

eV (2.27)

Pour une faible tension V xe, on peut déterminer l'écart entre les oscillations coulom-biennes qui correspondent à l'alignement du potentiel électrochimique de l'îlot avec lepotentiel EF du réservoir :

µ(n− 1, V n−1G ) = µ(n, V n

G ) = EF (2.28)

En utilisant l'équation 2.27, nous obtenons :

∆VG =CΣ

CG

(εn − εn−1

e+

e

)=

CG

(εn − εn−1

e

)+

e

CG

(2.29)

Lorsque e2/C À ∆ε, les oscillations coulombiennes sont quasi-périodiques. La non-périodicité des oscillations devient importante lorsque ∆ε ' e2/CΣ. Ainsi le connementquantique est un atout non négligeable pour augmenter l'énergie de charge du système etpar conséquent avoir une température de fonctionnement plus élevée.

18

Page 39: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

2.3 Techniques de fabrication de dispositifs mono-élec-troniques

Les principales dicultés dans la fabrication de dispositifs mono-électroniques sont demaîtriser la taille de l'îlot, et de positionner cet îlot entre deux ou trois électrodes. C'estpour cela que les premiers dispositifs basés sur le blocage de Coulomb ne sont apparus qu'àla n des années 80, en raison des limites technologiques de l'époque. En 1987, les premièresdémonstrations du blocage de Coulomb ont été eectuées avec un îlot métallique et unedouble jonction tunnel formée par son oxyde [Kuzmin et Likharev, 1987; Fulton et Dolan,1987]. En 1989, des oscillations de Coulomb ont été observées (non intentionnellement)pour la première fois sur un transistor MOS silicium à double grille [Scott-Thomas et al.,1989; Field et al., 1990]. Nous allons voir dans cette section les diérentes techniques defabrication développées au cours des années 90 et 2000, pour étudier le phénomène dublocage de Coulomb dans un système métal/oxyde ou semiconducteur/oxyde.

2.3.1 Les dispositifs métalliques

Évaporation à diérents angles à travers un masque suspendu En 1977, Dolanpropose une technique pour former des jonctions de faible surface grâce à deux évapo-rations à deux angles opposées à travers un masque suspendu, et avec une étape in-termédiaire d'oxydation [Dolan, 1977]. Le masque, en aluminium, est suspendu grâce àl'utilisation d'une couche de résine sacricielle et les ouvertures dans le masque ont étéfaites par photolithographie et gravure humide. En 1987, Fulton et Dolan utilisent cettetechnique pour fabriquer une double jonction tunnel à base d'aluminium [Fulton et Dolan,1987]. Lafarge et al. reprennent cette méthode pour fabriquer un électromètre à base d'untransistor à un électron [Lafarge et al., 1991]. Nakamura et al. améliorent la méthode

Figure 2.10 Principe de l'évaporation à deux angles opposées à travers unmasque suspendu. (a) L'évaporation à travers une ouverture donne une ligneplus ne. (b) L'espace entre deux ouvertures donne un gap plus petit entre deuxdépôts. (c) et (d) Deux ouvertures perpendiculaires donnent deux lignes avecune zone de contact de faible surface [Dolan, 1977]

19

Page 40: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

en proposant trois évaporations : une évaporation normale à l'échantillon pour formerl'îlot et les deux autres à angles opposées pour former les électrodes qui chevauchentl'îlot sur ses extrémités [Nakamura et al., 1996]. Ils réussissent à observer des oscillationscoulombiennes jusqu'à 100K sur un dispositif avec un îlot d'environ 20nm de diamètre.

Figure 2.11 Principe de l'évaporation à trois angles à travers un masque sus-pendu. [Nakamura et al., 1996]

(a) (b)

Figure 2.12 Caractéristiques d'un transistor à un électron réalisé par évapo-ration à 3 angles. (a) Image MEB du transistor. (b) Caractéristique courant-tension de grille du transistor à plusieurs températures. [Nakamura et al., 1996]

Nano-oxydation locale par pointe AFM ou STM En utilisant la pointe d'unAFM (Atomic Force Microscope) ou d'un STM (Scanning Tunneling Microscope) commeélectrode d'anodisation, et l'humidité de l'air ambiant ou d'un gaz, il est possible d'oxyderlocalement une ne couche métallique déposée un oxyde de silicium, et ainsi former desjonctions tunnel. Le métal utilisé peut être du titane [Sugimura et al., 1993; Matsumotoet al., 1995], de l'aluminium [Snow et al., 1996], du niobium [Shirakashi et al., 1996], dunickel ou encore du cobalt [Takemura et al., 2003]. Matsumoto et al. fabriquent un premiertransistor à un électron à base de titane grâce à un STM [Matsumoto et al., 1996]. Ilsforment un îlot dont les dimensions sont 30 nm × 35 nm × 3 nm, et observent des marchescoulombiennes à température ambiante. La même équipe propose aussi un transistor SETà deux ou cinq îlots de titane qui présentent les caractéristiques du blocage de Coulomb àtempérature ambiante [Matsumoto, 1997]. Un transistor à un électron à base de niobium

20

Page 41: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

[Shirakashi et al., 1997] et un autre à base de nickel [Shirakashi et Takemura, 2004] ontété fabriqués de la même façon. La nano-oxydation par pointe AFM ou STM est uneméthode lourde et coûteuse en temps pour fabriquer un dispositif. Par conséquent ellesera dicilement utilisable pour une grande densité d'intégration.

Figure 2.13 Principe de la nano-oxydation par pointeSTM [Matsumoto et al., 1996]

(a) (b)

Figure 2.14 Caractéristiques électriques d'un transistor à un électron fabriquépar nano-oxydation. (a) Image AFM du transistor. (b) Caractéristiques courant-tension de drain (ligne en gras) et conductance-courant de drain (ligne normale)du transistor, à 300 K, à VG nulle. [Matsumoto, 1997]

Dépôt de particules métalliques entre deux électrodes Le principe est de déposerdes particules métalliques entre deux électrodes susamment rapprochées, et déniespréalablement par lithographie par faisceau d'électrons, et par soulèvement ou gravure.Plusieurs techniques sont possibles pour déposer des particules d'or : faisceau ionique[Chen et al., 1995], FIB (Focused Ion beam) [Woodham et Ahmed, 1994], source ioniquede métal liquide [Vieu et al., 1997; Pépin et al., 1999], évaporation thermique [Mejiaset al., 1998]. Bien que les îlots obtenus par ces techniques ont des diamètres inférieurs à5 nm, il est dicile de contrôler leur nombre entre les deux électrodes, et l'espacemententre eux. Ainsi d'autres techniques ont été développées pour placer un îlot ou quelquesîlots métalliques de façon plus ou moins précise entre deux électrodes. Bezryadin et al.proposent la technique du piégeage électrostatique, qui consiste à piéger une particulecolloïdale entre deux électrodes en appliquant un champ électrique entre elles [Bezryadinet al., 1997]. Junno et al. montrent qu'il est possible de manipuler une particule d'or parAFM an de la positionner entre deux électrodes [Junno et al., 1998]. Il existe aussi desméthodes chimiques de fonctionnalisation de surface en utilisant une molécule pour xerdes particules d'or : fonctionnalisation de surface des électrodes [Klein et al., 1996], des

21

Page 42: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

(a) (b)

(c)

Figure 2.15 Caractéristiques électriques d'un transistor à un électron avec troisparticules colloïdales d'or, de 10 nm de diamètre environ, traitées chimiquement.(a) Image MEB du transistor. (b) Caractéristiques ID − VSD du transistor, à4.2 K, 77 K et 300 K, à VG nulle. (c) Caractéristiques ID − VG du transistor, à4.2K, pour diérentes tensions drain-source. [Sato et al., 1997]

particules colloïdales d'or [Sato et al., 1997; Weiss et al., 2006] ou de l'oxyde de silicium[Lin et al., 2007; Coskun et al., 2008]. Toutes ces techniques ont permis de conrmerle blocage de Coulomb avec un ou quelques îlots métalliques d'or. Cependant il seradicile de les utiliser dans l'industrie de la microélectronique, notamment à cause de lacontamination de l'or par diusion dans le silicium.

22

Page 43: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

L'approche "damascene" En 2007, Dubuc et al. [Dubuc et al., 2007, 2008] proposentune nouvelle approche pour fabriquer une transistor à un électron métallique. Cette ap-proche est illustrée à la gure 2.16.

(a) (b) (c)

(d) (e) (f)

Figure 2.16 Illustration du procédé nano-damascene : (a) irradiation de l'oxydede silicium par faisceau d'électrons, (b) gravure sélective de la zone irradiée dansl'acide uorhydrique diluée, (c) formation d'une ligne de titane perpendiculaireà la tranchée, par lithographie par faisceau d'électron, évaporation thermique etsoulèvement, (d) oxydation de la ligne de titane et formation des oxydes tunnelen TiOx, (e) dépôt d'une couche de titane sur la surface de l'échantillon, (f)polissage mécano-chimique de l'échantillon et formation de la double jonctiontunnel enterré dans l'oxyde de silicium.

Une couche d'oxyde thermique est irradiée à l'aide de la lithographie par faisceau d'élec-trons (gure 2.16a). La zone irradiée s'attaque plus rapidement que l'oxyde non irradiéedans l'acide uorhydrique diluée, et des tranchées, d'une profondeur d'environ 25 nm etde 15 nm de large, peuvent être ainsi fabriquées (gure 2.16b). Une ligne de titane, per-pendiculaire à la tranchée, est ensuite formée par lithographie par faisceau d'électrons,évaporation thermique et soulèvement (gure 2.16c). La largeur de cette ligne, allant de50 à 75 nm, dénira la longueur de l'îlot. Elle est oxydée dans de l'oxygène pure pourformer un oxyde de titane TiOx, qui constituera les deux jonctions tunnel du dispositif(gure 2.16d). L'épaisseur de l'oxyde de titane est varie entre 2 et 12 nm, selon la tempé-rature et le temps d'oxydation. Une couche de titane est déposée sur toute la surface del'échantillon an de remplir les extrémités de la tranchée, et former les réservoirs (gure2.16e). L'échantillon est nalement poli par polissage mécano-chimique (CMP) pour enle-ver tout ce qui se trouve au-dessus de l'oxyde de silicium (gure 2.16f. La structure ainsiobtenue est une double jonction tunnel Ti/TiOx enterrée dans l'oxyde de silicium. Dubucet al. obtiennent de remarquables caractéristiques du blocage de Coulomb à températureambiante, ainsi qu'à 433K, ce qui n'a jamais été fait auparavant.

23

Page 44: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

(a) (b)

Figure 2.17 Caractéristiques électriques de transistors SET fabriqués parnano-damascene. (a) Caractéristiques IDS − VDS en fonction de la tempéra-ture, d'un transistor SET. L'énergie de charge du dispositif est de 457 meV. Lestempératures sont 296 K (¥), 316 K (•), 336 K (N), 386 K (H) et 433 K (¨). Latension de grille arrière est nulle pour la courbe () pour laquelle elle est xée à0.3 V à 433 K. (b) Caractéristiques IDS − VGS d'un transistor, à VDS = 25mVet à 300 K. La ligne continue représente la simulation et les points (¤) sont lesvaleurs expérimentales. [Dubuc et al., 2007]

2.3.2 Les dispositifs en siliciumLes transistors MOS à canaux courts Le blocage de Coulomb a été observé sur destransistors MOS à canaux courts classiques [Specht et al., 1999; Wirth et al., 1999; Boeufet al., 2003], sur SOI (Silicon On Insulator) [Peters et al., 1998; Fraboulet et al., 2002;Kim et al., 2004; Lee et al., 2006] et sur SON (Silicon On Nothing) [Monfray et al., 2003].En général, les transistors se comportent de façon classique à température ambiante, etmontrent les caractéristiques du blocage de Coulomb à basse température à cause de leurfaible énergie de charge. Specht et al. [Specht et al., 1999] et Peters et al. [Peters et al.,1998] attribuent les oscillations coulombiennes à une charge xe ou un dopant qui induitun puits de potentiel dans le canal. Kim et al. dopent le canal p+ an de former desjonctions tunnel p+-n− avec les sources et drains dopés n+, grâce à la zone de charged'espace de faible épaisseur qui se crée aux jonctions [Kim et al., 2004]. Boeuf et al.proposent d'utiliser une grille qui ne recouvre pas les extrémités de la source et du drain[Boeuf et al., 2003]. Ainsi ils peuvent créer un puits de potentiel dans le canal dont laprofondeur dépend de la tension de grille.

24

Page 45: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

(a) (b)

Figure 2.18 Caractéristiques électriques de transistors MOS à canal court. (a)Image TEM d'un transistor avec une grille de 16 nm de long. (b) Caractéris-tiques IDS − VG d'un transistor PMOS, et d'un transistor NMOS, avec unelongueur et une largeur de grille de 280 nm et 27 nm respectivement. [Boeufet al., 2003]

La lithographie par faisceaux d'électrons La lithographie par faisceau d'électronsest un excellent moyen pour obtenir des nanostructures de silicium. En utilisant cettetechnique de lithographie sur des plaques de silicium sur isolant SOI, avec une couche desilicium très mince, il est possible de fabriquer des transistor à un électron en silicium. Lepremier dispositif silicium sur SOI a été présenté par Ali et Ahmed en 1994 [Ali et Ahmed,1994]. Ils ont fabriqué un nanol de silicium par lithographie par faisceau d'électrons etgravure sèche. Ensuite les jonctions tunnel ont été crées par l'amincissement du siliciumdans deux zones, dénies par une autre étape de lithographie par faisceau d'électrons, etgravées par plasma. C'est le connement quantique dû à l'amincissement du silicium quicrée les barrières tunnel. Cependant ce dispositif ne présente plus de blocage de Coulombau-dessus de 4K.

En 1995, Takahashi et al. proposent une technique de fabrication de transistors SET sili-cium, nommée PADOX (PAttern-Dependent OXydation) [Takahashi et al., 1995]. Au lieude graver le silicium pour former les jonctions tunnel, ils utilisent l'oxydation thermique.Lorsqu'un nanol, avec deux lignes beaucoup plus larges à ses extrémités, subit une oxy-dation pour croître l'oxyde de grille, cela a pour eet de réduire aussi ses dimensions à sesextrémités grâce à la diusion des atomes d'oxygène à l'interface silicium/oxyde enterré,et ainsi de former des constrictions. Au centre de la nanol, l'oxydation est limitée grâce

25

Page 46: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

à la compression induite, plus élevée dans cette région qu'aux extrémités [Nagase et al.,2002]. Les constrictions formées servent de barrières de potentiel grâce au connementquantique. Après l'oxydation, une grille en polysilicium dopée au phosphore est forméeau-dessus du nanol et les régions larges de silicium sont implantées au phosphore pourdénir la source et le drain. Avec une nanol dont les dimensions avant oxydation sont 50nm × 20 nm × 30 nm, ils réussissent à observer clairement des oscillations coulombiennesjusqu'à 150K.

(a) (b)

(c)

Figure 2.19 Caractéristiques d'un transistor SET réalisé par le procédé PA-DOX. (a) Représentation schématique du transistor SET (b) Vue en coupeschématique du transistor. (c) Caractéristique conductance-tension de grille dutarnsistor, avec un nanol de 50 nm de long, 20 nm de large et 30 nm enépaisseur. [Takahashi et al., 1996]

Ono et al. proposent la version verticale et améliorée du PADOX : le V-PADOX [Onoet al., 2000a,b]. Une ligne relativement large (> 60 nm) de silicium possède en son centreune tranchée, dénie avant la ligne par lithographie par faisceau d'électrons et gravuresèche. Grâce à l'oxydation, deux nanols de silicium, avec des jonctions tunnel à leursextrémités, se forment dans la région mince de silicium, grâce aux même eets présentéspour le procédé PADOX. Il est ainsi possible de fabriquer deux transistors SET semblablesen même temps, ce qui est un avantage pour la fabrication de circuits logiques intégrés.Ishikuro et al. utilisent le procédé PADOX pour fabriquer un transistor ambipolaire, c'est-à-dire fonctionnant aussi bien avec les trous (SHT) qu'avec les électrons (SET) [Ishikuroet Hiramoto, 1999]. L'îlot est un point de contact entre les source et drain du transistor. Ilsdémontrent que c'est bien le procédé PADOX qui forme les jonctions tunnel du dispositif.

26

Page 47: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Figure 2.20 Représentation schématique des pro-cédés V-PADOX et PADOX. Les traits discontinusreprésentent les structures de silicium avant oxy-dation. Les régions hachurées représentent les îlotsde silicium et les réservoirs après oxydation. [Onoet al., 2000a]

D'autres techniques ont été utilisées pour fabriquer l'îlot et les jonctions tunnel. Lesconstrictions peuvent être aussi dénies en même temps que l'îlot par lithographie parfaisceau d'électrons, et leurs dimensions sont réduites lorsque l'oxyde de grille est forméepar oxydation thermique [Leobandung et al., 1995a,b]. La variation de la largeur dunanol induite par la lithographie peut même créer une série d'îlots dans le canal lors del'oxydation [Zhuang et al., 1998]. Il est possible aussi de former l'îlot et les jonctions tunnelélectriquement dans le nanol, grâce à deux grilles latérales qui créent deux zones déplétéesdans le canal [Hu et al., 2004; Kang et al., 2008]. Les tailles de l'îlot et des jonctions sontainsi contrôlables grâce à la déplétion. Un exemple d'un transistor à double grille latéraleavec les caractéristiques électriques est donné à la gure 2.21. Le polysilicium dopé permetde fabriquer plus facilement des transistors SET multi-îlots. En eet, les joints de grain dupolysilicium peuvent se comporter comme des barrières tunnel [Yano et al., 1995; Irvineet al., 1998; Kawamura et al., 2002].

(a) (b)

Figure 2.21 Caractéristiques électriques d'un transistor SET avec une doublegrille latérale auto-alignée. (a) Représentation schématique du transistor. (b)Caractéristiques Id − Vcg à 4.2K, pour diérentes valeurs de tensions de drainet pour une tension de la double grille latérale de -0.5V. La taille de l'îlot crééélectriquement est comparable à une sphère de 30 nm de diamètre [Hu et al.,2004].

Leobandung et al. sont les premiers à observer des oscillations coulombiennes non pé-riodiques dues à la discrétisation des niveaux dans l'îlot de silicium [Leobandung et al.,1995a,b]. Les mêmes observations de cette non-périodicité ont été faites sur des structures

27

Page 48: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

fabriquées par V-PADOX [Ono et Takahashi, 2002] et celles avec des points de contact[Ishikuro et Hiramoto, 1997]. L'un des résultats les plus signicatifs du blocage de Cou-lomb à température ambiante est celui de Kobayashi et al. [Kobayashi et al., 2008]. Ilsfabriquent un transistor ambipolaire en utilisant le procédé PADOX. Ils utilisent aussiune gravure humide isotropique pour réduire les dimensions du nanol. La taille de l'îlotaprès gravure humide et oxydation thermique est estimée inférieure à 5 nm. L'équipe ob-serve des oscillations coulombiennes aussi bien pour les trous que pour les électrons àtempérature ambiante. De plus, elle observe le phénomène de résonance tunnel liée auxniveaux discrets de l'îlot.

(a) (b)

(c) (d)

Figure 2.22 Caractéristiques électriques d'un transistor mono-électronique am-bipolaire fabriqué par PADOX. (a) Représentation schématique du transistor.(b) Caractéristiques Id − Vg en modes SET et SHT, à température ambiante.(c) et (d) Caractéristiques Id − Vg et Id − Vd du transistor en modes SET etSHT, à température ambiante. La résonance tunnel est bien visible. [Kobayashiet al., 2008]

Réseau 2D désordonné de nanostructures de silicium Au lieu d'utiliser la litho-graphie par faisceau d'électrons, Uchida et al. proposent de graver légèrement une mincecouche de SOI, d'une épaisseur moyenne de 3 nm, avec une solution alcaline [Uchida et al.,2001]. La gravure provoque une uctuation d'épaisseur du SOI et par conséquent crée despuits de potentiel grâce à la variation du connement quantique dans les nanostructures.Avec cette méthode, Uchida et al. fabriquent un transistor à un électron, avec un canalformé d'un réseau 2D de nanostructures désordonnées, obtenues par gravure humide. Enappliquant une certaine tension de drain, un chemin de percolation se crée en fonction des

28

Page 49: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

résistances tunnel les plus élevées, et des oscillations coulombiennes sont observables jus-qu'à 80K. De plus, le transistor peut se comporter comme une mémoire non volatile, selonla tension de grille appliquée. L'équipe réussit à observer des oscillations coulombiennes etdes phénomènes de chargement, à température ambiante, sur un autre transistor [Uchidaet al., 2003], comme le montre la gure 2.23.

(a) (b)

(c)

Figure 2.23 Caractéristiques électriques d'un transistor SET, constitué d'unréseau 2D de nanostructures de silicum obtenues par attaque chimique. (a)Représentation schématique du transistor. (b) Image AFM de la couche mincede SOI après gravure chimique. (c) Caractéristiques Id−Vg d'un transistor SETà 150 et à 300 K. [Uchida et al., 2003]

Nuryadi et al. utilisent le procédé nano-LOCOS (LOCalized Oxydation of Silicon) pourfabriquer un réseau 2D de nanostructures de silicium [Nuryadi et al., 2003]. La gure 2.24donne une illustration de ce procédé. Il se fait en 4 étapes. Premièrement des îlots denitrure de silicium SiN sont formés à la surface du SOI, l'épaisseur du silicium étant de18 nm. Les îlots ont une taille latérale de 10-20 nm, une épaisseur de 0.5 nm et une densitéd'environ 3× 1011 cm−2. Ensuite la surface est oxydée thermiquement et sélectivementgrâce aux masques de nitrure. Des îlots de silicium se forment, séparés par des constrictionsqui constitueront les barrières tunnel grâce au connement quantique. Après l'oxydation,les masques de nitrure et l'oxyde thermique sont enlevés par gravure humide. Une nouvelleoxydation thermique est eectuée an de réduire la taille des nanostructures de silicium,ainsi que celle des constrictions. Au nal, les îlots de silicium ont des dimensions moyennesde 9 nm en hauteur, 20 nm en largeur et une densité de 2× 1011 cm−2. Les constrictionsont une hauteur d'environ 5 nm. Nuryadi et al. fabriquent un transistor ambipolaire aveclequel ils observent des oscillations coulombiennes en mode SET et SHT jusqu'à 50K.

29

Page 50: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Figure 2.24 Etapes de fabrication de nanostructures de silicium avec le procédénano-LOCOS [Nuryadi et al., 2003].

(a) (b)

(c)

Figure 2.25 Caractéristiques électriques d'un transistor mono-électronique am-bipolaire, constitué de nanostructures de silicium fabriquées avec le procédénano-LOCOS. (a) Représentation schématique du transistor. (b) Image AFMdes nanostructures de silicium. (c) Caractéristiques Ids − Vbg pour une tensionde drain xée à -15 mV, en modes SHT et SET. [Nuryadi et al., 2003]

Les deux techniques présentées sont simples et rapides à mettre en oeuvre. Cependant lesîlots sont formés de manière aléatoire et il est impossible de contrôler avec précision leurtaille.

30

Page 51: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Dépôt et déplacement de nanocristaux de silicium entre deux électrodes Ils'agit de déposer des nanocristaux de silicium entre deux électrodes métalliques ou se-miconductrices, dénies par lithographie par faisceau d'électrons et par gravure ou parsoulèvement. Les nanocristaux de silicium sont principalement déposés par CVD (voirchapitre 3) [Nakajima et al., 1996b; Ifuku et al., 1997; Baron et al., 2000]. On retrouvesurtout des mémoires à un électron qui utilisent les nanocristaux de silicium, comme nousallons le voir dans la section suivante. Quelques transistors à un électron ont été fabriquésà partir de nanocristaux déposés [Choi et al., 1998; Dutta et al., 2000a,b]. Cependant ledépôt est aléatoire et il est impossible de contrôler le nombre de nanocristaux entre lesélectrodes et la distance entre eux. Mazen et al. proposent d'irradier la surface de l'oxydeavec un faisceau d'électrons an de créer des sites préférentiels de nucléation [Mazenet al., 2004]. Decossas et al. utilisent la pointe d'un AFM pour déplacer une dizaine denanocristaux et les placer entre deux électrodes métalliques d'or [Decossas et al., 2003].Ils observent le phénomène de résonance tunnel à température ambiante. Il est possibled'utiliser cette technique pour placer un îlot unique entre deux électrodes.

(a) (b)

Figure 2.26 Images AFM d'électrodes en or, formées après dépôt de nanocris-taux de silicium par LPCVD, (a) avant et (b) après nano-manipulation AFM.La zone en pointillés en (b) indique la surface scannée en mode contact pourdéplacer les nanocristaux et la èche indique la direction du balayage. [Decossaset al., 2003]

Figure 2.27 Caractéristiques I-V du dispositif avecles nanocristaux de silicium et d'un dispositif réfé-rence, et représentation schématique de la résonancetunnel observée. [Decossas et al., 2003]

Les structures verticales silicium Jusqu'à présent, nous avons parlé de techniquesde fabrication de dispositifs mono-électroniques planaires, avec une grille latérale ou une

31

Page 52: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

grille verticale (MOS ou double jonction tunnel avec grille arrière). Pourtant il seraitintéressant de fabriquer des structures verticales. La conguration verticale permettraitune plus grande densité d'intégration, et un meilleur contrôle de la taille de l'îlot et desjonctions tunnel grâce à des dépôts multi-couches ou des oxydations. Fukada et al. sontles premiers à fabriquer des nanostructures verticales de silicium avec plusieurs jonctionstunnel [Fukuda et al., 1997]. Leur structure multi-couche est formée par plusieurs dé-pôts de silicium amorphe d'une dizaine de nm, chacun suivi par une oxydation ou parune nitridation à haute température pour former une couche mince d'isolant. La hautetempérature convertit le silicium amorphe en silicium polycristallin. Des nanopiliers sontensuite fabriqués en utilisant la lithographie par faisceau d'électron et la gravure sèche.Une étape d'oxydation thermique permet de réduire la taille latérale des nanopiliers. Poo-ley et al. utilisent ce procédé pour fabriquer une double jonction tunnel verticale avec dupolysilicium dopé et du nitrure de silicium [Pooley et al., 1999b, 2001]. Les dimensionsdes nanopiliers sont données à la gure 2.28a. Ils observent des marches coulombiennes àbasse température.

(a) (b)

Figure 2.28 Caractéristiques électriques d'un nanopilier constitué d'un îlot depolysilicium et de deux barrières de nitrure. (a) Représentation schématiqued'un nanopilier. (b) Caractéristique I-V d'un nanopilier de 50 nm de diamètre,à 4.2 K. [Pooley et al., 1999b]

Hu et al. réussissent à fabriquer des transistors SET verticaux avec l'empilement polysi-licium/nitrure de silicium/polysilicium, l'épaisseur de chaque couche étant de 3 nm [Huet al., 2005; Wan et al., 2005]. Ils placent une grille métallique à coté des nanopiliers. Ilobservent des oscillations coulombiennes à température ambiante sur des transistors avecdeux, trois et quatre jonctions tunnel, comme le montre la gure 2.30. Néanmoins la fabri-cation de transistors SET verticaux reste un challenge technologique, notamment à causede la formation de la grille et de son isolation par rapport à la source, ce qui explique lepeu de publications dans la littérature. La formation d'une grille circulaire autour d'unnanopilier serait intéressant pour un meilleur couplage [Goldberger et al., 2006].

32

Page 53: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Figure 2.29 Représentation schématique d'untransistor à un électron vertical avec un îlot depolysilicium, fabriqué par Hu et al. [Hu et al.,2005].

(a) (b)

Figure 2.30 Caractéristiques électriques d'un transistor à un électron verticalavec un îlot de polysilicium. (a) Caractéristiques Id − Vd d'un transistor SETvertical à deux barrières, à température ambiante. (b) Caractéristiques Id − Vg

du transistor pour diérentes tensions de drain. [Hu et al., 2005]

33

Page 54: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

2.4 Applications du blocage de CoulombDans cette section, nous présenterons les principaux dispositifs qui utilisent le blocagede Coulomb. Nous parlerons principalement des dispositifs en silicium car le silicium estdepuis longtemps utilisé dans la fabrication de dispositifs microélectroniques en sallesblanches, et les techniques de fabrication utilisées sont généralement maîtrisées.

2.4.1 Le transistor SET silicium, remplaçant du transistor MOS-FET dans les circuits logiques ?

Il est possible d'avoir de grandes densités d'intégration de transistors SET par rapportaux MOSFETS grâce à leurs faibles dimensions. Cependant les résistances associées auxjonctions tunnel augmentent et peuvent atteindre des valeurs dans de l'ordre GΩ. Parconséquent la fréquence maximum de fonctionnement d'un transistor SET sera limitéepar une constante de temps RC relativement grande. De plus la présence de chargesparasites peut induire des erreurs de fonctionnement dans les circuits à bases de SET.Le gain du transistor SET, déni par G = CG/CD peut aussi être un problème. Pouravoir un gain supérieur à l'unité, la capacité de grille doit être supérieure à la capacitéde drain (capacité de la jonction tunnel). Or une capacité de grille élevée peut augmenterla capacité totale du système et diminuer ainsi la température de fonctionnement pourobserver le blocage de Coulomb. La faisabilité de portes logiques simples a été démontréeavec les transistors SET à basse température [Tsukagoshi et al., 1998; Takahashi et al.,1998; Stone et Ahmed, 1999; Ono et al., 1999]. Pour réduire les problèmes de gain dansles cicuits SET, on propose de combiner les transistors MOSFETS et les transistors SETan de fabriquer des circuits hybrides SET-FET [Uchida et al., 1999; Inokawa et al., 2002;Mahapatra, 2005]. Les circuits à base de SET utilisent les niveaux de tensions de grillepour transmettre l'information, comme pour les circuits conventionnelles CMOS. Il esttoutefois possible d'attribuer un bit d'information à la présence ou l'absence d'un électrondans l'îlot du transistor. On parle alors de logique à un électron. Korotkov parle de cettelogique mono-électronique de façon détaillée dans son article de 1999 [Korotkov, 1999].

2.4.2 La mémoire à un ou quelques électrons

La mémoire basée sur le blocage de Coulomb est le dispositif le plus étudié car il estfacile de le fabriquer. L'idée est d'utiliser la structure conventionnelle d'une mémoire nonvolatile ou ash (MNV) et de remplacer la grille ottante pour un ou quelques îlots. Lebit d'information peut alors être représenté par un électron, alors que dans une MNVconventionnelle, le nombre d'électrons est de l'ordre de 104. La lecture des charges peut sefaire avec un transistor MOSFET ou un transistor SET. L'injection de charges par eettunnel à partir du canal dans un ou quelques îlots modie la tension seuil du transistor.

34

Page 55: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Avec ce genre de structures, la abilité (nombre de cycles écriture/eacement) devraitêtre améliorée et la consommation des circuits devrait être réduite.

La cellule mémoire à nano-grille ottante auto-alignée

Plusieurs équipes ont présenté une cellule mémoire avec un îlot de polysilicium situé au-dessus d'un canal très mince de transistor fabriqué sur SOI [Guo et al., 1996; Nakajimaet al., 1997; Welser et al., 1997]. Les résultats les plus intéressants ont été obtenus parGuo et al. Une description de leur procédure de fabrication est donnée à la gure 2.31.Avec un îlot de polysilicium dont les dimensions sont 7 nm × 7 nm × 2 nm, ils observentune discrétisation du décalage en tension du transistor, grâce au blocage de Coulomb quise produit dans l'îlot de polysilicium. Ceci montre qu'il est possible de faire des mémoiresmulti-niveaux, et de stocker plusieurs bits dans une même cellule. Cependant la présenced'un défaut dans l'oxyde tunnel pourrait décharger complètement la mémoire. Nakajima etal. étudient l'inuence de plusieurs îlots de polysilicium au-dessus du canal d'un transistoret montrent que le temps de rétention est amélioré avec une deuxième nano-grille ottante[Nakajima et al., 2008].

Figure 2.31 Illustration de la fabrication d'une mémoire à nano-grille ot-tante auto-alignée. (a) Dépôt d'une couche de polysilicium par LPCVD surune plaque de SOI. (b) Dénition du canal du transistor par lithographie parfaisceau d'électrons et par gravure RIE. (c) Dénition de la grille ottante depolysilicium par deux autres étapes de lithographie et gravure sèche. (d) Oxy-dation thermique pour réduire les dimensions du canal et de la grille ottante,et dépôt de l'oxyde et de la grille de contrôle.[Guo et al., 1997]

35

Page 56: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

(a) (b)

Figure 2.32 Caractéristiques électriques d'une mémoire à nano-grille ottanteauto-alignée. (a) Caractéristiques Id − Vg de la mémoire, à température am-biante, avant (courbe tout à gauche) et après le stockage de charges. Pour unpulse de tension d'écriture entre 2 et 14V, le décalage de la tension seuil se faitde manière discrète, avec un incrément d'environ 55 mV. (b) Variation de latension de seuil en fonction de la tension d'écriture. La tension seuil varie enmarches d'escalier tous les 4 V environ. [Guo et al., 1997]

La mémoire à grille ottante granulaire

Le remplacement de la grille ottante par une plan d'îlots déposés à été proposé par unéquipe d'IBM en 1995 [Tiwari et al., 1995]. Des nanocristaux de silicium sont déposés parCVD sur un oxyde tunnel de 2 nm environ, et ont une densité moyenne de 1× 1012 cm−2.Ils sont ensuite recouverts d'un oxyde de contrôle déposé de 7 nm d'épaisseur. Ces na-nocristaux sont situés juste au-dessus du canal d'un transistor conventionnel, de 400 nm

de long et de 20µm de large. Le décalage de la tension seuil du transistor, dû au char-gement des îlots, est observé à température ambiante. Les eets du blocage de coulombsur le décalage de la tension seuil ne sont observables qu'à basse température, comme lemontre la gure 2.34, mais ceci montre la possibilité d'enregistrer plusieurs bits dans unemême cellule. Des tests de abilité montrent que cette mémoire peut supporter mille foisplus de cycles que la mémoire EEPROM (Electrically-Erasable Programmable Read-OnlyMemory) classique (109 cycles contre 106).

Figure 2.33 (a)Représentation schématique de lamémoire proposée par Tiwari et al. et diagrammesde bande de la mémoire durant les opérations (b)d'écriture, (c) de rétention et (d) d'eacement [Ti-wari et al., 1996].

36

Page 57: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

(a) (b)

Figure 2.34 Caractéristiques électriques d'une mémoire à grille ottante gra-nulaire. (a) Caractéristiques Id − V g de la mémoire, à température ambiante,pour diérentes tensions d'écriture. La tension de drain est de 100 mV. (b) Va-riation de la tension de seuil en fonction de la tension d'écriture, à diérentestempératures. [Tiwari et al., 1995]

Ohba et al. proposent une mémoire similaire à celle d'IBM, mais elle comporte deux plansd'îlots de silicium superposés, séparés par un oxyde tunnel [Ohba et al., 2000]. Le planinférieur contient des îlots plus petits que ceux dans le supérieur plan. Il a été démon-tré expérimentalement que ces mémoires présentent des temps de rétention supérieurs àcelle des mémoires avec un plan d'îlots, grâce au blocage de Coulomb et le connementquantique présents dans les îlots du plan inférieur.

(a) (b)

Figure 2.35 Caractéristiques électriques d'une mémoire à deux plans d'îlots desilicium auto-alignés. (a) Représentation schématique de la mémoire. (b) Tempsde rétention de mémoires à un et deux plans d'îlot, à VG − VTH = 2V , après uncycle d'écriture-eacement à 8V/-8 V. [Ohba et al., 2002]

Il faut noter que le dépôt de nanocristaux de silicium n'est pas la seule technique pourformer la grille ottante. Il est possible de faire des mémoires avec des nanocristauxde silicium implantés à faible énergie [Hana et al., 1996; Normand et al., 2001b; Nget al., 2006] ou avec une couche d'oxyde non st÷chiométrique. D'autres matériaux peuventêtre utilisés et améliorer les temps de rétention en raison d'une hauteur de barrière plusgrande avec l'oxyde de silicium, comme les nanocristaux de germanium [Kanoun, 2004] etceux d'arséniure d'indium [Hocevar, 2008]. L'utilisation d'un grille ottante en nitrure desilicium avec des nanocristaux de silicium incorporés pourrait aussi améliorer les temps de

37

Page 58: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

rétention grâce aux pièges profonds situés à l'interface entre les nanocristaux et le nitrure[Huang et al., 2004; Chiang, 2008].

L'eet mémoire a aussi été démontré sur des transistors SET possédant une nanogrilleottante, créée par un dépôt de nanocristaux de silicium [Takahashi et al., 2000b; Mo-las et al., 2005]. Le chargement des quelques îlots provoque le décalage des oscillationscoulombiennes. Il est ainsi possible de contrôler la position de ces oscillations.

2.4.3 La diode tunnel résonante

La diode tunnel résonante est un dispositif qui utilise les eets quantiques dans un puitsquantique pour produire une résistance négative diérentielle. Cette diode est capable degénérer un signal très rapide, d'une fréquence supérieure au GHz. Ainsi elle est étudiéepour être intégrée dans des circuits ultra-rapides tels que des oscillateurs hyper-fréquences.

E1EF

(a)

EF

V

(b)

EF

V

(c)

Figure 2.36 Diagrammes de bandes d'une hétérostructure à double barrièresymétrique, à diverses polarisations : (a) structure à l'équilibre (V = 0), (b)passage tunnel grâce à l'alignement du niveau E1 avec le niveau de Fermi EF

de l'émetteur. (c) régime de forte injection (courant tunnel et thermoïonique).

Le diagramme de bandes d'une hétérostructure, constituée d'un puits quantique entredeux barrières et deux électrodes de semiconducteurs dégénérés, est donné à la gure 2.36.On parle aussi de structure à double barrière. A l'équilibre, le premier niveau de la bandede conduction du puits, nommé E1, se trouve au-dessus du niveau de Fermi des électrodes.Pour de faibles tensions, la probabilité de passage tunnel est faible et aucun courant nepasse. Lorsque la tension est telle que le premier niveau E1 s'aligne avec le niveau de Fermide l'électrode émettrice, la résonance tunnel apparaît et l'amplitude de courant augmenterapidement. Le courant maximum est appelé Ipic. Lorsque la tension augmente encore,le niveau passe en-dessous du niveau de Fermi de l'émetteur et le courant décroît. Lecourant minimum est appelé Ivallee. Pour des tensions plus élevées, le courant augmentede nouveau en raison de la forte injection au niveau de la deuxième barrière. Les bonsdispositifs doivent présenter un rapport élevé Ipic/Ivallee. Pour des barrières symétriques,

38

Page 59: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

la tension de résonance correspond à deux fois E1/e. Si le connement est assez fort dansle puits quantique, il est possible d'observer d'autres pics de résonance tunnel.

I

Ivallee

Ipic

V

Figure 2.37 Caractéristique I-V typique d'une diodetunnel résonante

Il n'existe que peu de travaux sur le système Si/SiO2 [Yuki et al., 1995; Ishikawa et al.,2001; Ikeda et al., 2003; Bergho et al., 2008], la majorité d'entre eux étant focaliséesur les hétérostructures III-V [Sugiyama et al., 1988; Brown et al., 1989; Smet et al.,1992] et Si/SiGe [Liu et al., 1988; Ismail et al., 1991; Cain et al., 2000; Suda et Koyama,2001]. A l'heure actuelle, il n'existe pas de diodes résonantes tunnel à base de Si/SiO2 quiprésentent des pics de résistance négative diérentielle, à température ambiante et avecun bon rapport Ipic/Ivallée. Pourtant la résonance est observée sur certaines transistors etmémoires à un électron à température ambiante. En réduisant la taille des diodes pouraugmenter le connement quantique, il sera possible de voir la résonance probablementcombinée au blocage de Coulomb.

(a) (b)

Figure 2.38 Caractéristiques électriques de diodes résonantes tunnel à base desilicium. (a) Caractéristiques I-V, en fonction de la température, d'une structureavec un puits quantique de silicium entre deux barrières d'oxyde de silicium,obtenue par collage de deux plaques [Ishikawa et al., 2001]. (b) CaractéristiquesI-V en hysteresis à 10 K, en fonction du temps d'intégration, d'une structureSiO2/SiOx/SiO2, obtenue par dépôt PECVD [Bergho et al., 2008].

39

Page 60: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

2.4.4 Applications à l'opto-électronique : l'émission et la détec-tion d'un ou de quelques photons

L'émission d'un ou quelques photons

L'origine de la luminescence des nanocristaux de silicium Depuis l'observationde la forte luminescence dans le visible du silicium poreux, à température ambiante, parCanham en 1990 [Canham, 1990], il existe un débat intense dans la communauté inter-nationale pour expliquer l'origine de cette luminescence. Lors de sa découverte, Canhamexplique que le connement quantique est responsable de la luminescence dans le visibledu silicium poreux. Or ce modèle n'explique pas le fait que la position énergétique despics de luminescence soit très faiblement dépendante de la taille des nanocristaux, dont lediamètre est inférieur à 3 nm, après recuit sous oxygène [Petrova-Koch et al., 1992; Prokes,1993; Rückschloss et al., 1993]. D'autres modèles sont apparus ensuite : le modèle des hy-drures et des siloxanes [Prokes et al., 1992; Brandt et al., 1992], le modèle des défauts dansl'oxyde de silicium [Sacilotti et al., 1993; Qin et Jia, 1993], le modèle des états d'interfaces[Koch et al., 1993]. Cependant ces modèles ont été mis à défaut car ils n'expliquent pas ledécalage en PL en fonction de taille du nanocristal, et ni sa dépendance en température,ni la présence de phonons. En 1999, Wolkin et al. proposent un modèle qui regroupe celuidu connement quantique et celui des états d'interface [Wolkin et al., 1999]. Ce modèlemontre l'importance de l'élément passivant à la surface des nanocristaux. Pour des nano-cristaux avec un diamètre supérieur à 3 nm, le modèle du connement quantique prévaut,alors que pour un taille inférieure à 3 nm, c'est la double liaison Si=O, à la surface denanocristaux passivés à l'oxygène, qui est responsable de la luminescence. Par conséquentcette dernière devient indépendante de la taille. Aujourd'hui les modèles du connementquantique et des états d'interfaces sont les plus utilisés. Cependant le débat n'est pasencore clos.

Les sources mono-photoniques Le blocage de Coulomb est un phénomène intéressantpour générer un photon en recombinant un électron et un trou. Kim et al. proposentd'utiliser le blocage de Coulomb dans une hétérostructure avec un puits quantique deGaAS, séparé de deux autres puits, dopés n et p, par deux barrières de AlGaAs [Kim et al.,1999]. En appliquant une première tension, un électron passe à travers l'une des barrièrespour rentrer dans le puits. Le blocage de Coulomb est ensuite établi et aucun autre électronne peut rentrer dans le puits. En appliquant une deuxième tension plus élevée, c'est autour d'un trou de rentrer dans le puits. En modulant la tension d'application entre deuxvaleurs, on peut injecter périodiquement un électron et un trou dans le puits central. Si letemps de passage tunnel et le temps de recombinaison radiative est inférieure à la périodedu pulse, un photon peut être émis. Les auteurs observent l'émission mono-photonique

40

Page 61: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

à basse température sur leur dispositif. Ce type de dispositif n'a pas été utilisé pour lesilicium, sûrement à cause de son gap indirect.

Sur le même principe, Walters et al. proposent d'utiliser une mémoire basée sur un tran-sistor MOS avec une grille ottante de nanocristaux de silicium [Walters et al., 2005,2006]. Les électrons et les trous sont injectés par eet tunnel à partir du canal de manièreséquentielle. Les nanocristaux sont alternativement chargés positivement et négativementselon la tension de grille appliquée. L'émission de lumière a lieu lors du changement designe de la tension de grille : les porteurs injectés se recombinent avec les porteurs decharge opposée déjà présents les nanocristaux de silicium. Les auteurs ont créé ainsi untransistor MOS électroluminescent. Avec des nanocristaux de silicium implantés de 2-4nm de diamètre et d'une densité d'environ 1× 1012 cm−2, ils observent un large pic d'élec-troluminescence, à température ambiante, centrée à 750 nm. En diminuant le nombre denanocristaux, il serait possible d'obtenir un émetteur d'un ou quelques photons dans levisible. Cependant la vitesse de l'émetteur sera essentiellement limitée par la durée de vieradiative dans les nanocristaux de silicium, de l'ordre de la microseconde.

(a) (b)

(c)

Figure 2.39 Schéma de fonctionnement de la mémoire électroluminescente. (a)Injection d'électrons dans les nanocristaux de silicium, par eet tunnel depuis lacanal. (b) Injections de trous dans les nanocristaux par le même mécanisme.(c)Recombinaison radiative des excitons. [Walters et al., 2005]

41

Page 62: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

(a) (b)

Figure 2.40 Caractéristiques optiques et opto-électriques d'une mémoire élec-troluminescente. (a) Spectres de photoluminescence et d'électroluminescencedes nanocristaux de silicium implantés, utilisés dans la mémoire électrolumines-cente. (b) Électroluminescence résolue en temps du dispositif. [Walters et al.,2005]

La détection d'un ou quelques photons

L'absorption dans les nanocristaux de silicium En déterminant le coecient d'ab-sorption par des mesures de transmission et de réexion, il est possible de donner le gapoptique des nanocristaux de silicium. Cette méthode a l'avantage d'être moins sensibleaux défauts et à la surface des nanocristaux [Sagnes et al., 1993]. Des études ont étémenées sur des nanocristaux de silicium fabriqués de diérentes façon : dépôt LPCVD[Nakajima et al., 1996a], SiOx [Ma et al., 1999; Hernández et al., 2008], pulvérisation[Bera et al., 2001], anodisation [Sagnes et al., 1993; Matsumoto et al., 2001]. Les spectresde coecient d'absorption montrent un décalage vers le bleu du gap optique par rapportau silicium massif, ce qui conrme que le connement quantique est présent. Cependantles nanocristaux de silicium présentent toujours un gap indirect d'après les études.

Les détecteurs mono-photoniques Durant les dix dernières années, plusieurs travauxsur des photodétecteurs à base de matériaux III-V ont été réalisés. Par contre l'utilisationde nanostructures de silicium est plutôt rare.

Des travaux présentent des transistors avec des nanocristaux d'InAs en grille ottante pourdes applications de mémoires optiques ou de détection dans l'infrarouge moyen [Yusa etSakaki, 1997; Finley et al., 1998; Shields et al., 1999; Lee et al., 1999]. Dans ces dispositifs,la capture de porteurs photogénérés modie la conductance du canal du transistor. Shieldset al. fabriquent un détecteur ultrasensible en réduisant la taille de la grille de la mémoire,et par conséquent le nombre de nanocristaux d'InAS [Shields et al., 2000; Kardynal et al.,2004; Beattie et al., 2004]. Gansen et al. fabriquent le même genre de dispositif avec desnanocristaux d'InGaAs [Gansen et al., 2007].

42

Page 63: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

L'eet tunnel photo-assisté (photon-assisted tunneling) pourrait être utilisé pour la dé-tection de micro-ondes (1GHz(5µeV) - 300GHz(1meV)). Lorsqu'un transistor est enblocage de Coulomb, il est possible de fournir de l'énergie par irradiation à un électrond'un des réservoirs pour qu'il puisse entrer dans l'îlot. De la même manière, un électrondans un îlot peut y sortir grâce à l'énergie apportée par un ou plusieurs photons. Kouwen-hoven et al. observent l'eet tunnel photo-assisté sur une double jonction tunnel forméeélectrostatiquement dans un hétérostructure GaAs/AlGaAs [Kouwenhoven et al., 1994].Dovinos et al. l'observent avec un îlot déni par lithographie par faisceau d'électrons dansune couche de SiGe [Dovinos et Williams, 2005].

En ce qui concerne l'utilisation des transistors SET silicium comme photodétecteurs, nouspouvons parler de trois équipes. Fujiwara et al. étudient l'eet d'une excitation optique surles caractéristiques Id−Vg d'un transistor asymétrique fabriqué avec la technique PADOX[Fujiwara et al., 1997]. Ils montrent qu'il apparaît de nouveaux pics dans les oscillationscoulombiennes du SET, en-dessous de la tension seuil du transistor, sous éclairement dansle visible. Ces nouvelles conditions d'observation du blocage de Coulomb sur les électronssont dues à l'existence d'une population nie de trous photoexcités dans l'îlot. Ces trousproviennent soit de l'absorption d'un photon dans l'îlot, soit des réservoirs. Un faibleéclairement du transistor provoque le blocage et le déblocage du courant d'électrons àl'emplacement des nouveaux pics créés sous fort éclairement. En eet, lorsque le passaged'un électron à travers l'îlot est permis pour une certaine tension de grille, celui-ci peut serecombiner avec un trou photoexcité et ainsi le blocage de Coulomb est de nouveau rétabli.Le trou recombiné peut être compensé par un nouveau trou photoexcité. La condition deblocage de Coulomb est alors levée et le passage d'un électron est rétabli. Ce phénomèneest d'autant plus probable que le ux de photon est important, ce qui explique la continuitéet la discontinuité des pics de courant sous fort et faible éclairement respectivement. Kuoet Chang démontrent que l'apparition des deux oscillations en-dessous de la tension seuild'un transistor à un électron est due à de nouveaux chemins de conductions formés grâce

Figure 2.41 (a) Représentation schématique d'un transistor SET asymétriquefabriqué par PADOX. (b) Schéma électrique équivalent du dispositif. [Fujiwaraet al., 1997]

43

Page 64: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

aux trous photogénérés, par le biais des interactions électron-trou : excitons, excitonschargés positivement et négativement (trions), et biexcitons [Kuo et Chang, 2005].

(a) (b)

Figure 2.42 Caractéristiques électriques du transistor SET asymétrique sousobscurité et sous éclairement d'une lampe halogène, à 36 K. (a) CaractéristiquesId−Vg du transistor. (b) Dépendance du photocourant en fonction de l'intensitéd'excitation. [Fujiwara et al., 1997]

Ikeda et al. étudient l'eet de l'illumination sur un transistor à un seul trou, avec unréseau 2D d'îlots fabriqués à partir de la technique nano-LOCOS [Ikeda et al., 2004]. Ilsobservent l'apparition d'un troisième pic, ou un décalage des oscillations coulombiennes.Des simulations indiquent que ce troisième pic est dû à la capture d'un électron pho-togénéré dans un îlot adjacent au chemin de percolation, chargé préalablement d'un oude quelques trous. Le nombre de trous diminue dans l'îlot adjacent et par conséquent lechemin de percolation est aecté. L'électron photogénéré proviendrait du substrat dopéd'après les auteurs.

Figure 2.43 Caractéristiques Ids − Vbg d'un transistorambipolaire, constitué d'un réseau 2D d'îlots forméspar le procédé nano-LOCOS, en mode SHT, sous obs-curité et sous éclairement d'une lampe halogène.[Ikedaet al., 2004]

Nuryadi et al. observent un signal aléatoire télégraphique RTS (Random Telegraph Signal)sur un autre dispositif, fabriqué de la même façon, sous illumination [Nuryadi et al., 2006].Ils montrent que le signal dépend de la longueur d'onde et de la puissance de la lumière.

44

Page 65: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

L'état "on" de ce signal apparaît quand un photon est absorbé dans un îlot adjacent auchemin de percolation, et charge l'îlot avec un porteur photogénéré. L'état "o" se produitquand l'îlot se décharge de ce porteur ou est neutralisé par une charge de signe opposée.

(a) (b)

Figure 2.44 Courants de drain d'un transistor ambipolaire en fonction de lapuissance (a) et de la longueur d'onde (b) [Nuryadi et al., 2006].

Hu et al. observent quant à eux du photocourant sur un transistor SET vertical, avec troisîlots de polysilicium non dopé, isolés l'un de l'autre par des barrières de nitrure de silicium[Hu et al., 2007]. Ce photocourant dépend de la puissance de la lumière et des marchesapparaissent pour des puissances élevées. Les auteurs attribuent ce photocourant à unphoton absorbé par l'un des îlots, qui provoque un changement dans la charge électriquede l'îlot et par conséquent dans le caractéristique source-drain du transistor.

Pour conclure sur la photodétection, il faut noter qu'il est possible aussi de coupler le tran-sistor SET à un photodétecteur conventionnel. Le transistor fonctionne alors comme undétecteur de charges (électromètre) photogénérées dans le photodétecteur [Cleland et al.,1992]. Talyanskii et al. proposent d'utiliser les ondes acoustiques de surface, pour déplacerles charges photogénérées d'un photodétecteur de grande surface (pour la sensibilité), versdeux transistors SET (l'un pour les trous, l'autre pour les électrons) [Talyanskii et al.,2007; Batista et al., 2007].

45

Page 66: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

(a) (b)

(c)

Figure 2.45 Caractéristiques électriques d'un transistor SET vertical avec troisîlots de polysilicium, sous obscurité et sous éclairement. (a) Image MEB dutransistor et représentation schématique du dispositif. (b) Caractéristiques Id−Vd du transistor SET, à température ambiante pour diérentes intensités d'unesource lumineuse à 580 nm.(c) Caractéristiques Id − Vd du transistor SET sousobscurité (courbe noir), sous illumination (courbe rouge), et sous les deux modes(courbe verte). [Hu et al., 2007]

2.5 Contexte de l'étude

Au cours de ce chapitre, nous avons vu que le blocage de Coulomb ore une multituded'applications grâce à la manipulation d'une ou quelques charges. Les dispositifs en si-licium présentent deux avantages majeurs par rapport aux structures métalliques : ilssont compatibles avec les technologies de fabrication actuelle de la microélectronique, etle connement quantique dans les structures de faibles dimensions augmente l'énergie deCoulomb, ce qui augmente la température de fonctionnement. La littérature regorge depublications sur les transistors à un électron pour le remplacement du transistor MOS-FET ou du moins pour son association avec ce dernier dans les circuits logiques. Il enest de même pour les mémoires à un ou quelques électrons avec une grille ottante gra-nulaire, qui permettent la réduction des dimensions des cellules mémoire actuelles et lestockage multi-niveaux. Cependant l'étude de dispositifs mono-électroniques en siliciumpour la détection dans le visible reste rare. Pourtant l'utilisation du blocage de Coulombpermettrait d'obtenir une meilleur sensibilité par rapport aux photodétecteurs actuels.

46

Page 67: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Nous proposons d'étudier les caractéristiques électriques et opto-électriques de nanostruc-tures verticales contenant des nanocristaux de silicium dans une matrice d'oxyde. Cesnanostructures pourront être intégrées dans un photodétecteur que l'on nommera nano-pixel. Une représentation schématique du nanopixel est donnée ci-dessous.

une matrice d’oxyde

ncs−Si dansoxyde de grille

grille circulaire

contact transparent

drain

source

Figure 2.46 Représentation schématique du nanopixel

Ce nanopixel est en fait un transistor SET vertical. La source et le drain se trouvent auxextrémités du nanoplot, avec un contact transparent pour le drain. Une grille circulaireentoure la base du plot.

47

Page 68: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

48

Page 69: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

CHAPITRE 3Techniques de fabrication de nanocristaux desilicium et de nanostructures

Dans le deuxième chapitre, nous avons décrit les diérentes nanostructures de siliciumpossibles pour utiliser le blocage de Coulomb dans diverses applications. Dans la pre-mière partie de ce chapitre, nous décrirons plus en détail les nanocristaux de silicium etles diérentes méthodes d'élaboration. Dans la seconde partie, nous discuterons des dif-férentes techniques à notre disposition an de fabriquer des nanostructures contenant detels nanocristaux.

3.1 Méthodes d'élaboration des nanocristaux de sili-cium

Depuis la découverte de la luminescence du silicium poreux, nous avons vu que de nouvellesperspectives sont apparues dans le domaine de l'opto-électronique. D'autres méthodes defabrication de nanocristaux, alternatives à l'attaque chimique, ont été développées par lasuite. Certaines d'entre elles sont compatibles avec la microélectronique.

3.1.1 Oxyde riche en silicium

Implantation ionique

La technique consiste à introduire des atomes de silicium dans une matrice d'oxyde parimplantation du silicium Si+. Les doses implantées varient de 1016 à 1017 atomes/cm2.L'énergie d'implantation dénit la profondeur d'implantation. Elle peut atteindre 200 keVpour des oxydes thermiques épais, mais il est possible aussi d'implanter à de faibles éner-gies proches de 1 keV, sur des couches minces inférieures à 10 nm [Normand et al., 2001a;Bonafos et al., 2004]. Le prol de distribution des atomes doit être le plus resserré possiblean d'obtenir une couche uniforme de nanocristaux, une multi-implantation est possiblepour améliorer ce prol [Shimizu-Iwayama et al., 1998; Guha et al., 2000].

An de former les nanocristaux et de réparer les défauts causés par l'implantation, unrecuit à haute température est nécessaire (T > 900 C). Certains paramètres seront parti-culièrement inuents sur la taille des nanocristaux : la concentration initiale d'atomes desilicium, la température et la durée du recuit [Bonafos et al., 2001]. La durée du recuit,pour une concentration et une température xes, n'a que peu d'inuence sur la taille desnanocristaux en raison de la faible diusion des atomes de silicium dans l'oxyde à haute

49

Page 70: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

(a) (b)

Figure 3.1 Images de microscopie en transmission de nanocristaux de siliciumobtenus par implantation ionique. (a) Image en champ foncé (DFTEM) denanocristaux de silicium dans un oxyde épais (800 nm). A droite une imageen haute résolution d'un nanocristal est aussi montrée [Bonafos et al., 2001].(b) Image en haute résolution de nanocristaux de silicium dans un oxyde mince(8nm) [Normand et al., 2001a].

température. Par contre, la température de recuit, pour une concentration et une duréexes, agit fortement sur elle en raison du processus activé thermiquement de la croissancedu nanocristal. Pour une température et une durée xes, le diamètre des nanocristauxaugmente avec la concentration de silicium incorporé. Ceci est dû à un eet de proximitéentre les précipités pour des concentrations supérieures à 10 at·% . L'atmosphère du re-cuit est aussi un paramètre important. Un recuit sous azote permet une redistributiondes atomes de silicium, ce qui entraîne une nucléation et une croissance des nanocristaux.La croissance des gros nanocristaux peut continuer au détriment des petits grâce à ladiusion des atomes entre précipités (Ostwald ripening) [Schmidt, 2007]. Un recuit sousatmosphère oxydante, quant à lui, permet une diminution de la taille et de la densitédes nanocristaux, ainsi qu'une bonne passivation de leur surface [Wendler et al., 1996;Garrido et al., 2003; Con et al., 2006].

Les nanocristaux implantés présentent une haute stabilité thermique et chimique grâce àla matrice d'oxyde. Cependant le recuit thermique de la couche peut être un problème sile budget thermique doit être minimisé.

Dépôt chimique en phase vapeur

A partir d'un oxyde-non st÷chiométrique SiOx<2, dans lequel les atomes de silicium sonten excès, il est possible d'obtenir des nanocristaux par précipitation, c'est-à-dire par dé-mixtion du SiOx en Si et SiO2. Cet oxyde est déposé soit par LPCVD à 600 C soit parPECVD à 300 C. Le silane SiH4 et l'oxyde nitreux N2O sont introduits dans la chambredu réacteur et réagissent à la surface pour former la couche non st÷chiométrique. Le rap-port N2O/SiH4 conditionne la concentration en oxygène du SiOx. Ensuite cette coucheest recuite an de former les nanocristaux de silicium par précipitation, selon la réaction[Hinds et al., 1998] :

SiOx −→ x

2SiO2 +

(1− x

2

)Si (3.1)

50

Page 71: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

La démixtion se fait en général sous azote, à des températures supérieures ou égales à1000 C [Nesbit, 1985; Inokuma et al., 1998b; Buet et al., 2002; Iacona et al., 2004; Chenet al., 2005].

Dans le cas du dépôt CVD, ce sont le rapport N2O/SiH4 et la température de démixtionqui sont prépondérants sur le contrôle de la taille des nanocristaux. La concentrationde silicium augmente lorsqu'on diminue le rapport des gaz, et par conséquent la tailledes nanocristaux augmente aussi [Nesbit, 1985; Chen et al., 2005]. Un recuit additionneloxydant peut également permettre d'améliorer la passivation de surface des nanocristauxet de diminuer leur taille et leur densité [Chen et al., 2005].

Comme pour les nanocristaux implantés, les nanocristaux précipités ont une grande sta-bilité chimique et thermique. Leur taille est plutôt bien contrôlée, et de plus il est possiblede déposer des couches minces de SiOx. Par contre le budget thermique peut être élevé àcause du recuit de démixtion.

Figure 3.2 Image MEB (à droite) d'une cellule mémoire à base de nanocristauxde silicium et image TEM (à gauche) des nanocristaux, obtenus par dépôtLPCVD et recuit d'une couche de SiOx [Deleruyelle et al., 2003]

3.1.2 Nucléation par LPCVDA partir de la décomposition thermique du silane SiH4 à une température avoisinant les600 C, il est possible de déposer des nanocristaux de Si par LPCVD sur une coucheisolante (SiO2, Si3N4, SiOxNy, . . . ) [Baron et al., 2000]. Les réactions chimiques mises enjeu sont décrites par les équations suivantes, où (g) signie phase gazeuse et (s) phasesolide [Briand et al., 1999] :

SiH4(g) −→ SiH4(s) −→ Si(s) + 2H2(g) (3.2)SiH4(g) −→ SiH2(g) + H2(g) −→ Si(s) + 2H2(g) (3.3)

A faible pression, l'adsorption et la dissociation du silane à la surface, décrites par l'équa-tion 3.2, sont prédominantes. La pyrolyse du silane en silène et hydrogène, décrite parl'équation 3.3, est négligeable.

La densité et la taille des nanocristaux dépendent principalement de la pression et de latempérature du dépôt, ainsi que de la nature chimique de la surface sur laquelle ils sont

51

Page 72: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

(a)

(b)

Figure 3.3 (a) Images MEB en vue inclinée de nanocristaux de silicium déposéspar LPCVD sur diérents isolants. De gauche à droite : SiO2,Si3N4, SiOxNy

[Baron et al., 2000]. (b) Image par transmission d'un nanocristal de siliciumdéposé par LPCVD sur un oxyde thermique [Scheer et al., 2003].

déposés [Baron et al., 2000]. Vu le caractère aléatoire de la nucléation durant le dépôt, ladispersion en taille des nanocristaux est large. Une oxydation peut néanmoins diminuerla taille ainsi que la dispersion en taille des nanocristaux [Scheer et al., 2003]. Une autresolution serait de séparer la nucléation et la croissance des nanocristaux. Ainsi Baron etal. proposent un dépôt CVD en deux étapes [Baron et al., 2004] :

- la première étape consiste à obtenir des nuclei de Si en exposant la surface avec dusilane,

- la deuxième étape permet de croître les nuclei précédemment formés avec un gazprécurseur sélectif tel que le dichloro-silane (SiH2Cl2).

Les auteurs montrent que cette méthode contribue eectivement à une diminution de ladispersion en taille des nanocristaux. Il est possible de dénir aussi des sites préférentiels denucléation, soit grâce aux dislocations, soit par faisceau d'électrons, ou encore de déplacerles nanocristaux déposés grâce à la nano-manipulation par pointe AFM [Decossas et al.,2003].

3.1.3 Recristallisation du silicium amorphe

La fabrication des nanocristaux peut se faire par la recristallisation activée thermiquementd'une couche mince de silicium amorphe en sandwich entre deux couches d'oxyde. Lesstructures peuvent être fabriquées par pulvérisation, LPCVD, PECVD, MBE [Zheng et

52

Page 73: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Li, 2005]. La recristallisation thermique du silicium amorphe se fait soit par RTA avec destemps très courts (< 60 s), suivi par un recuit sous azote dans un four traditionnel pourrelaxer les contraintes aux interfaces nc-Si/SiO2 et améliorer la passivation de surface[Tsybeskov et al., 1998; Krishnan et al., 2004], soit uniquement par un recuit traditionnel[Lee et al., 2004], soit par irradiation laser [Khriachtchev et al., 2006; Mchedlidze et al.,2008].

La taille verticale des nanocristaux est limitée par les deux couches d'oxyde. Par contre,la majorité d'entre eux se touchent latéralement. Pour améliorer l'isolation latérale, unrecuit oxydant est nécessaire, et permet aussi de diminuer aussi la taille des nodules deSi [Krishnan et al., 2004]. Il est possible aussi de former des nanostructures par gravuresèche et de les isoler par un oxyde déposé avant la recristallisation [Lee et al., 2004].

Figure 3.4 Images par transmission en champ clair eten haute résolution (en bas à gauche) d'une structureSiO2/nc-Si/SiO2 obtenue par recristallisation du sili-cium amorphe. [Lee et al., 2004]

3.1.4 Autres méthodes

Il existe d'autres méthodes de fabrication de nanocristaux de silicium. La plupart d'entreelles sont intéressantes car elles permettent de sélectionner la taille des nanoparticulesavant le dépôt. Cependant elles ne sont pas pour le moment compatibles avec les tech-niques actuelles de fabrication en microélectronique.

Pulvérisation Le principe est de pulvériser une cible polarisée négativement, avec unaimant (magnétron) placée derrière cette cible an d'augmenter l'ecacité d'ionisation. Ilest possible de faire de la co-pulvérisation en utilisant deux cibles, l'une en SiO2 et l'autreen Si [Thogersen et al., 2008], ou de la pulvérisation réactive en utilisant une seule cible deSi sous atmosphère Ar/O2 [Seifarth et al., 1998]. Les couches d'oxyde non-st÷chiométriqueobtenues sont ensuite recuites an de précipiter les nanocristaux.

Ablation Spark En appliquant un champ électrique intense entre deux électrodes desilicium, il se forme des arcs électriques qui arrachent des particules de silicium [Saunderset al., 1993]. Un gaz neutre tel que l'argon permet de récupérer et déplacer les parti-cules jusqu'au substrat sur lequel elles seront déposées. La taille des particules peut êtresélectionnée grâce à un analyseur de mobilité diérentielle [Camata et al., 1996].

53

Page 74: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Ablation laser Un laser pulsé (femto et nanoseconde) est focalisé sur une cible rotativeplacée dans une enceinte ultra-vide, à un angle de 45 en général. Grâce aux interactionslaser-solide, une certaine quantité de matière est éjectée et un plasma de particules seforme. Les particules se condensent sur un substrat placé à l'opposé de la cible ou perpen-diculaire à elle. Les nanocristaux de silicium sont déposés à partir d'une cible de siliciumdans une atmosphère neutre [Levoska et al., 1999; Patrone et al., 2000]. Avec un analy-seur de mobilité diérentielle, il est possible de sélectionner les tailles de nanocristaux àdéposer [Orii et al., 2003]. Des couches de SiOx peuvent aussi être formées dans une at-mosphère oxydante durant le dépôt, qui est suivi d'un recuit pour former les nanocristaux[Riabinina et al., 2006].

Pyrolyse laser Cette technique, développée au Max-Planck Institute, permet la décom-position du silane SiH4 par un faisceau laser CO2 pulsé [Ehbrecht et Ferkel, 1997; Ledouxet al., 2000; Sublemontier et al., 2008]. Il en résulte une vapeur d'atomes de Si à hautetempérature (> 1300K). Les atomes sont collectés par un cône d'aspiration qui permetla communication avec la chambre de dépôt dans laquelle le vide est très poussé. Avantl'échantillon, un hacheur peut être placé sur le parcours du ux d'atomes de silicium, enamont de l'échantillon, an de sélectionner la taille des nanoparticules.

Pyrolyse à haute température La décomposition du silane peut se faire aussi à hautetempérature dans un four à pyrolyse. Un réacteur couplé à une chambre de dépôt a étéconçu et il a été démontré sa compatibilité avec des salles blanches pour la fabricationde mémoires à nanocristaux de silicium [Ostraat et al., 2001]. Le réacteur est composé dedeux parties : un four à pyrolyse pour créer les particules de silicium à 900 C, et un fourd'oxydation pour passiver les nanocristaux à 1050 C avant le dépôt sur le substrat.

Plasma VHF Un plasma très haute fréquence permet la décomposition du silane àbasse température. En introduisant dans la chambre du dihydrogène H2 de manière pulsée,il est possible de contrôler et de séparer la phase de nucléation et celle de croissance, etd'obtenir ainsi une faible dispersion en taille des nanocristaux [Ifuku et al., 1997; Oda etNishiguchi, 2001; Oda et Mizuta, 2006].

3.2 Techniques de fabrication de nanostructuresDans cette section nous allons parler des diérentes techniques à notre disposition pour lafabrication de nanostructures à base de silicium. Il ne s'agit pas de faire une descriptiondétaillée des principes de chaque technique et des appareils utilisés, que l'on peut retrouverdans tout bon bouquin sur la fabrication en microélectronique [Plummer et al., 2000;Franssila, 2004]. Nous parlerons des avantages et des inconvénients de chacun.

54

Page 75: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

3.2.1 La lithographie par faisceau d'électrons

Depuis plusieurs années, cette technique de lithographie est utilisée en recherche sur lesdispositifs avancés en raison de la grande résolution qu'elle ore. En eet, la longueurd'onde des électrons est inférieure au nanomètre et permet de dénir des motifs plus petitsque 10 nm [Broers et al., 1976; Chen et Ahmed, 1993], ce qui est dicile de réaliser avecles systèmes optiques actuelles en raison notamment de la diraction des UV. Par contrela production de masse en industrie s'avère dicile à cause de l'exposition séquentielle desmotifs et l'utilisation des électrons présente quelques problèmes comme leur rétrodiusionou l'eet de chargement.

A l'instar de la photolithographie, les électrons exposent une résine électrosensible gé-néralement étalée sur un matériau. La diérence majeure est qu'il n'y a pas de masqued'exposition. Les motifs sont directement dénis par le faisceau d'électrons. Il existe desappareils dédiés à cette technique pour des applications commerciales industrielles maiselles restent encore extrêmement coûteuses [Pain et al., 2006]. En recherche et dévelop-pement, la conversion d'un microscope électronique à balayage reste moins coûteuse. Al'université de Sherbrooke, le centre CRN2 est équipé de deux microscopes MEB : le JEOL6300 [CRN2, 2009a] et le Zeiss Supra 55VP [CRN2, 2009b].

Le contrôle de la lithographie sur ces microscopes se fait grâce à un logiciel commercialnommé NPGS pour Nanometer Pattern Generation System [Nabity et Wybourne, 1989;Nabity, 2009]. L'ordinateur est relié à un interrupteur de faisceau et au microscope viaun convertisseur numérique-analogique. Lors d'une exposition, le logiciel permet de fairedévier le faisceau d'électrons pour chaque point d'exposition en contrôlant les déecteursélectrostatiques du microscope en x et y. Entre chaque point d'exposition ou chaque mo-tif, le faisceau est coupé grâce au contrôle de l'interrupteur. Les motifs sont dénis par unsous-programme nommé DesignCAD. Dans un chier d'exécution, les paramètres d'ex-position pour chaque motif tels que le courant du faisceau, l'espacement entre les pointsd'exposition, le temps d'exposition entre chaque point et le grossissement, sont dénis. Ilexiste une relation entre certains de ces paramètres qui dénit la dose d'exposition D :

D =I × t

dcc × ls(3.4)

où I, t, dcc et ls sont respectivement le courant du faisceau, le temps d'exposition, ladistance centre à centre (distance horizontale) et l'espacement entre les lignes (distanceverticale). Dans NPGS, la dose peut être surfacique (µC/cm2), linéaire (nC/cm) ou ponc-tuelle (fC).

La résine généralement la plus utilisée est le polyméthacrylate de méthyle PMMA, déve-loppé par IBM. Le PMMA est une résine négative ou positive selon la dose d'exposition[Hatzakis, 1969; Zailer et al., 1996]. Cette résine est étalée comme pour les résines pho-tosensibles. À l'université de Sherbrooke, nous disposons de la résine négative QSR-5,

55

Page 76: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

développée par Quantiscript, ancienne entreprise spin-o de l'université [CRN2, 2009c;Lavallee et al., 2002; Legario et al., 2004]. Son dépôt se fait diéremment des résinesconventionnelles : il est fait par évaporation thermique. Cela présente plusieurs avan-tages : l'épaisseur de résine est mieux contrôlée et peut être très faible (< 50 nm), et lalithographie peut se faire en haute résolution.

3.2.2 La gravure humide et plasma

Après la dénition des motifs par lithographie, les structures sont formées en généralpar gravure. Ceci implique l'utilisation d'un masque de gravure qui permet le transfertdes motifs dans les couches inférieures. Ce masque peut être la résine exposée, une couched'oxyde de silicium, de nitrure de silicium ou métallique. La gravure peut être faite par voiehumide, c'est-à-dire en utilisant des solutions de gravure, ou par plasma en utilisant desgaz dans un plasma. La gravure humide est simple à mettre en oeuvre et est peu coûteuse.Cependant pour des structures sub-microniques, elle devient dicilement contrôlable,car elle est en général isotropique pour l'ensemble des matériaux. La gravure plasmaest largement utilisée aujourd'hui dans les techniques de fabrication VLSI car elle peutorir une gravure unidirectionnelle. Néanmoins les deux types de gravure peuvent êtrecombinées [Fischer et al., 1993; Nakajima et al., 1994].

Comme nous l'avons vu dans la section précédente, les nanocristaux de silicium peuventse retrouver directement dans une matrice d'oxyde ou sont encapsulés entre deux couchesd'oxyde, l'une thermique et l'autre déposée. Une couche de polysilicium dopé peut êtreutilisé comme grille. Pour une gravure humide, un masque de nitrure de silicium seraitplus approprié. De plus il pourrait être utile pour une oxydation thermique comme nousle verrons dans la prochaine section. Pour une gravure plasma, un masque de chrome estgénéralement utilisé.

La gravure humide

Silicium et polysilicium Pour une gravure isotropique, le silicium et le poly-siliciumpeuvent être gravés dans un mélange d'acide uorhydrique et d'acide nitrique HF:HNO3.Dans une base forte telle que l'hydroxyde de potassium KOH ou l'hydroxyde de tetraméthyl-ammonium TMAH, le silicium se grave de façon anisotropique selon les plans cristallo-graphiques. Le polysilicium se grave de façon plus ou moins isotropique vu son caractèrepolycristallin. La gravure avec les bases est sélective sur l'oxyde de silicium.

Oxyde de silicium L'acide uorhydrique HF est le plus communément utilisé pour lagravure du SiO2. Mélangé avec le uorure d'ammonium NH4F, on obtient une solutionqui s'appelle BOE (Buered Oxide Etch) qui permet une attaque plus ecace dans letemps. La gravure est sélective sur le silicium.

56

Page 77: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Nitrure de silicium L'acide phosphorique H3PO4 est généralement utilisé pour lagravure de Si3N4, à des températures entre 150 et 180 C. A ces températures, l'eau danslaquelle est dilué l'acide phosphorique s'évapore et la concentration d'acide augmente.Par conséquent la vitesse de gravure varie au cours du temps. Pour contrer ce problème,un système de condensation des vapeurs et de récupération dans le bain peut être utilisé[Buat et al., 2002]. La gravure est sélective sur l'oxyde de silicium.

Chrome Il existe une solution de gravure commerciale qui permet de graver le chrome,le CR-7S10 distribué par Ciantek. Il est possible aussi de préparer une solution avec l'acideacétique et le nitrate d'ammonium cérique.

Le tableau ci-dessous reprend les solutions de gravure pour diérents matériaux, dis-ponibles en salles blanches au CRN2.

Tableau 3.1 Solutions de gravure humide pour diérents matériaux dispo-nibles au CRN2

Matériaux Solutions de gravure RemarquesSiO2 HF Gravure sélective sur Si

NH4F:HF BOE Mélange moins agressif pour unmasque de résine

Si HNO3:HF Gravure isotropiqueKOH ou TMAH Gravure anisotropique selon les

plans cristallographiquesPoly-Si HNO3:HF

KOH ou TMAH Gravure plus ou moins isotropiqueSi3N4 H3PO4 Nécessite un système de régulation

de la concentrationCr CR-7S10

acide acétique/nitrate d'ammo-nium cérique

La gravure plasma

Contrairement à la gravure humide qui ne demande pas un équipement lourd (bancshumides), la gravure plasma s'eectue dans des appareils sophistiqués. A l'université deSherbrooke, quatre systèmes de gravure plasma sont disponibles : un système RIE (Reac-tive Ion etching) CS-1701 de la compagnie March, et trois systèmes plasma haute densitéICP (Inductively Coupled Plasma) de la compagnie Surface Technology Systems STS.Pour ces derniers, chaque appareil est dédié à une gravure de matériau : le silicium (ASEpour Advanced Silicon Etching) [CRN2, 2009f], l'oxyde et le nitrure de silicium (AOEpour Advanced Oxide Etching) [CRN2, 2009e], et le chrome (ICP III-V) [CRN2, 2009g].Dans le système RIE, les gravures sont anisotropiques mais non sélectives, sur de faiblesprofondeurs. Dans les systèmes ICP, les gravures sont anisotropiques et sélectives.

57

Page 78: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Le système RIE Le système RIE permet de graver aussi bien le silicium, son oxydeet son nitrure sans de grande sélectivité entre ces matériaux. Le masque utilisé est gé-néralement le chrome. L'hexauorure de soufre SF6 permet de graver ces matériaux. Letétrauorure de carbone CF4 peut être utilisé aussi.

Le système ASE Le procédé Bosch est utilisé : il s'agit de l'alternance d'une étapede gravure par le SF6 et d'une étape de passivation par l'octauorocyclobutane C4F8. Ceprocédé permet de graver sur de grandes profondeurs le silicium et d'avoir une grandesélectivité sur l'oxyde de silicium. Un masque de résine est généralement utilisé.

Le système AOE Ce système permet de graver l'oxyde et le nitrure de silicium. Le gaztétrauorocarbone CF4 permet de graver ces deux matériaux. L'octauorocyclobutaneC4F8 est utilisé pour attaquer l'oxyde de silicium.

Le système ICP III-V Ce système est utilisé par graver des matériaux III-V, avec deschimies à base de chlore. Il est possible de graver le chrome avec du dichlore.

Le tableau 3.2 reprend les systèmes de gravure et les gaz chimiques utilisés pour dif-férents matériaux disponibles en salles blanches au CRN2.

Tableau 3.2 Systèmes de gravure et gaz chimiques utilisés pour diérentsmatériaux au CRN2

Système Matériaux Gaz principaux RemarquesRIE Si, Poly-Si, Si3N4 SF6, CF4 Gravure anisotropique,

sélectivité faibleASE Si SF6/C4F8 (passivation) Gravure anisotropique

profonde, sélective surSiO2

AOE SiO2 CF4,C4F8 Sélective sur SiSi3N4 CF4

ICP III-V Cr Cl2 Sélective sur SiO2

3.2.3 L'isolation électrique des nanostructures

L'isolation électrique est une étape importante dans la fabrication de nanostructures ver-ticales, car elle permet d'eectuer des caractérisations électriques et d'étudier le transportélectrique à travers les couches contenant les nanocristaux de silicium. Le premier matériauisolant à lequel on pense est évidemment l'oxyde de silicium cru par oxydation thermiqueou déposé en phase vapeur chimique CVD (Chemical Vapor Deposition). Cependant ilexiste une autre catégorie de matériaux qui pourraient aussi isoler électriquement lesnanostructures : les résines polymères.

58

Page 79: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

L'oxydation thermique

Plusieurs études ont été menées an de comprendre l'eet de l'oxydation thermique sur desstructures en deux dimensions comme des tranchées de silicium [Marcus et Sheng, 1982],des colonnes cylindriques de silicium [Kao et al., 1987; Liu et al., 1994] et des nanols surSOI [Heidemeyer et al., 2000]. Toutes ces études montrent que, pour des températuresinférieures à 1000 C, l'oxydation est limitée à cause des contraintes en compression quise créent dans les coins concaves et convexes des structures. Ces contraintes sont plusimportantes lorsque les dimensions des structures diminuent. Par conséquent l'épaisseurd'oxyde n'est pas uniforme sur toute la structure, elle est plus mince dans les coins concaveset convexes, comme le montre la gure 3.5. Cela peut poser des problèmes de abilitéélectrique. Pour supprimer ces contraintes, des températures supérieures à 1000 C peuventêtre utilisées car l'oxyde se retrouve alors dans son régime visco-élastique [EerNisse, 1977],mais aux dépens du budget thermique et des propriétés de l'oxyde.

Figure 3.5 Vues en coupe TEM de lignes de silicium oxydées en ambiancehumide à (a) 900 C, (b) 950 C, (c) 1050 C et (d) 1100 C. [Marcus et Sheng,1982]

La présence des nanocristaux dans une matrice d'oxyde est aussi à considérer. Lors d'uneoxydation, l'oxygène diuse aisément à travers une couche d'oxyde de silicium, ce quipeut entraîner une augmentation et une variation de l'épaisseur d'oxyde [Fukuda et al.,

59

Page 80: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

1997]. L'eet de l'oxydation thermique sur la taille de nanocristaux de silicium a aussi étéétudié [Omachi et al., 2001; Scheer et al., 2003; Chen et al., 2005; Con et al., 2006]. Lesauteurs montrent qu'une compression normale à l'interface Si/SiO2 retardent l'oxydationdes nanocristaux à des températures inférieures à 1000 C. Cette compression augmentedurant l'oxydation et ralentit fortement la diminution de la taille des nanocristaux. Ades températures supérieures, l'oxydation est plus rapide pour la même raison donnéeauparavant.

Le dépôt chimique d'oxyde en phase vapeur

Dans l'industrie de la microélectronique, le dépôt chimique d'oxyde en phase vapeur estlargement utilisé. Il se fait essentiellement par LPCVD ou par PECVD. On le retrouveprincipalement dans la formation des tranchées d'isolation STI (Shallow Trench Isolation)qui séparent chaque transistor, et dans les interconnexions en aluminium (InterMetalDielectric IMD). Le dépôt est généralement suivi d'un polissage mécano-chimique CMP(Chemical Mechanical Polishing) pour enlever l'excès d'oxyde et planariser la surface[Cote et al., 1995, 1999].

On pourrait utiliser la combinaison du dépôt d'oxyde et du polissage mécano-chimiquepour isoler les nanostructures. Le dépôt n'aectera pas l'épaisseur de l'oxyde de silicium etla taille des nanocristaux de silicium, contrairement à l'oxydation thermique. Cependantil doit être uniforme et conforme aux nanostructures. Le dépôt LPCVD donne des lms debonne qualité et conformes aux structures, mais il est fait à des températures avoisinantles 600 C. Le dépôt PECVD a l'avantage de se déposer à plus basse température, auxalentours de 300 C. Par contre, l'oxyde PECVD est en général moins dense et moinsconforme que l'oxyde LPCVD. L'un des plus gros problèmes du polissage est l'abrasion(dishing) des matériaux qui entraîne une non-planarité de la surface [Yu et al., 1992; Limet al., 2005].

A l'université de Sherbrooke, nous disposons d'un réacteur de dépôt PECVD STS [CRN2,2009d] et d'une machine de polissage MULTIPOL de la compagnie ULTRA TEC.

Les diélectriques polymères

On retrouve dans la littérature l'utilisation d'une résine polyimide comme isolant di-électrique de nanostructures [Reed et al., 1988; Pooley et al., 1999a; Sato et al., 2004]ou d'interconnexions [Small et Pearson, 1990]. Cependant l'utilisation d'autres résines estenvisageable. Le PMMA a été utilisé pour réduire la surface de contact entre les électrodeset une couche d'oxyde avec des nanocristaux de silicium implantés [Shalchian et al., 2005].La PMMA est néanmoins sensible aux solvants. La résine époxy SU-8 a été utilisée commediélectrique d'interconnexions dans les MEMS (Micro-Electro-Mechanical-Systems) [Da-niel et al., 2001; Yoon et Allen, 2005] et comme isolant de grille dans les transistorsorganiques [Ng et al., 2008; Yildirim et al., 2008].

60

Page 81: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

L'utilisation de ces polymères est simple et peu coûteuse. Ils sont étalés sur toute lasurface de la plaque ou de l'échantillon. Avec une épaisseur supérieure à la hauteur desnanostructures, les couches sont généralement planaires. Les températures de recuit res-tent inférieures à 250 C. L'excédent de résine s'enlève facilement avec un plasma oxygène.

La résine époxy SU-8, de la compagnie MicroChem [MicroChem, 2009], est disponible dansles salles blanches du CRN2. Après exposition UV et recuit thermique, la SU-8 possèdeune bonne stabilité thermique et est résistante aux solvants, acides et bases.

3.3 ConclusionParmi les diérentes méthodes d'élaboration de nanocristaux de silicium présentées dansla première partie de ce chapitre, trois d'entre elles sont viables car elles sont compatiblesavec les techniques actuelles de fabrication en microélectronique et la taille des îlots estcontrôlable :

- l'implantation ionique, avec laquelle il est possible d'implanter à faible énergie dusilicium dans des couches minces d'oxyde an d'obtenir un plan d'îlots. Cependantle bilan thermique ne peut être minimisé en raison du recuit thermique pour laprécipitation.

- le dépôt CVD d'oxyde-non st÷chiométrique. Des couches nes comme des couchesépaisses sont possibles. Cependant le recuit pour former les îlots n'améliore pas lebudget thermique.

- le dépôt d'îlots par LPCVD. Le recuit thermique n'est pas nécessaire. De plus ilest possible de dénir des sites préférentiels de nucléation ou de déplacer les nc-Sidéposés.

Dans ce projet, nous utiliserons principalement des nanocristaux de silicium précipitésdans un oxyde-non st÷chiométrique déposé par LPCVD. Les plaques sont fournies parle CEA-LETI de Grenoble. Ce dernier est capable de déposer des couches d'oxyde-nonst÷chiométrique de bonne qualité et d'une épaisseur minimale de 5 nm.

Dans la deuxième partie du chapitre, nous avons vu les techniques disponibles au CRN2

qui nous permettraient de fabriquer des nanostructures contenant des nanocristaux desilicium. La gravure plasma est la technique la mieux adaptée à la formation des nano-structures. En ce qui concerne leur isolation électrique, l'oxydation thermique des nano-structures est à proscrire surtout si l'on ne veut pas modier l'épaisseur d'oxyde et la tailledes nanocristaux. Même si l'utilisation d'un oxyde déposé et du polissage mécano-chimiqueest très intéressante, l'utilisation d'un polymère diélectrique semble être la meilleure al-ternative car elle est simple et le budget thermique est faible.

61

Page 82: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

62

Page 83: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

CHAPITRE 4Développement d'un procédé de fabricationet d'isolation de nanostructures contenant desnanocristaux

Les techniques de fabrication présentées dans la première partie du chapitre 3 permettentd'obtenir de grandes densités d'îlots. Cependant une grande densité est parfois synonymede dispersion en taille des îlots. Or la taille et la dispersion en taille des îlots ont une im-portance capitale pour le fonctionnement des dispositifs mono-électroniques [Wang et al.,2001]. Ainsi les structures avec un grand nombre de nanocristaux ne permettent pas engénéral de contrôler les charges par blocage de Coulomb. Dans ce quatrième chapitre, nousallons présenter deux méthodes de fabrication pour obtenir des nanostructures contenantquelques îlots semiconducteurs.

Diérents nanocristaux ont été utilisés dans la fabrication des nanostructures :

- des nanocristaux de Si dans une couche d'oxyde-non st÷chiométrique SiOx,

- des nanocristaux de Si et de Ge déposés par LPCVD sur un oxyde thermique,

- des nanocristaux d'InAs crus par MBE sur un oxyde thermique.

63

Page 84: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

4.1 Réalisation de nanopiliers contenant des nanocris-taux de silicium dans une matrice d'oxyde

4.1.1 StructuresLa gure 4.1 présente une vue schématique de l'empilement des plaques P10 à P18 dulot C178Pxx fourni par le CEA-LETI pour la fabrication de nanopiliers. Sur un substratsilicium de type N, une couche d'oxyde-non st÷chiométrique SiOx=1.5 est déposée parLPCVD avec 3 épaisseurs diérentes : 5, 10 et 15 nm. Ensuite la couche subit un recuitde démixtion de 3 min 30 s à 1000 C an de former les nanocristaux de Si. Un secondrecuit sous oxygène à 1000 C est eectué avec une durée de 5, 10 ou 30 min. Ce recuitoxydant permet de passiver la surface des nanocristaux. Finalement une couche dopée N+

de polysilicium est déposée par LPCVD sur le SiOx.

Si type N

Poly-Si N+

SiOx

Figure 4.1 Vue schématique de l'empi-lement du lot C178Pxx.

Tableau 4.1 Descriptif des plaques C178PxxPlaques 10 11 12 13 14 15 16 17 18Substrat Si type N X X X X X X X X XSiOx=1.5 5 nm X X XSiOx=1.5 10 nm X X XSiOx=1.5 15 nm X X X1000C/3 min 30 s/N2 X X X X X X X X X1000C/5 min/O2 X X X1000C/10 min/O2 X X X1000C/15 min/O2 X X XPoly-Si N+ X X X X X X X X X

4.1.2 Procédé de fabricationLa gure 4.2 illustre le procédé utilisé pour les plaques C178Pxx. Chaque étape du procédéest détaillée par la suite.

64

Page 85: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

(a) (b) (c)

(d) (e) (f)

Figure 4.2 Illustration du procédé de fabrication et d'isolation de nanopilierssur les plaques C178Pxx. (a) Dépôt de 10 nm de Cr et de 30 nm de QSR-5. (b)Lithographie par faisceau d'électrons. (c) Développement de la QSR-5 et gravureICP du chrome. (d) Gravure RIE du polysilicium, du SiOx et du substrat desilicium, pour former les nanopiliers. (e) Isolation des nanostructures avec larésine SU-8. (f) Dépôt de contacts électriques.

Dénition des motifs par lithographie par faisceau d'électrons

Avant l'évaporation de la résine électronégative QSR-5, 10 nm de chrome sont déposés parévaporation par faisceau d'électrons. Le chrome servira plus tard de masque de gravureplasma. 30 nm de QSR-5 sont ensuite évaporés par eet joule.

La lithographie par faisceau d'électrons est utilisée pour dénir des points de résine circu-laires dont les diamètres nominaux sont 2µm, 500 nm, 200 nm et 100 nm. Après exposition,le développement s'eectue dans le butanone (MEK pour Methyl Ethyl Ketone) durant30 secondes. Un rinçage au méthanol et à l'eau suit le développement.

La gure 4.3 montre des images MEB de réseaux 2D de points de résine QSR-5. Ces imagesmontrent bien l'uniformité des points de résines. Sur la gure 4.4, des points de résineindividuels sont montrés à plus fort grossissement. Le contour des points est relativementlisse et plus ou moins circulaire. Ces observations montrent que l'astigmatisme est faible,car les motifs sont peu déformés.

65

Page 86: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

(a) (b)

(c) (d)

Figure 4.3 Images MEB de réseaux de points dénis dans la résine QSR-5. (a)2 µm, (b) 500 nm, (c) 200 nm, (d) 100 nm.

66

Page 87: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

(a) (b)

(c) (d)

Figure 4.4 Images MEB de points dénis dans la QSR-5 à fort grossissement.(a) 2 µm, (b) 500 nm, (c) 200 nm, (d) 100 nm.

67

Page 88: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Gravure du chrome

La résine QSR-5 exposée va maintenant servir de masque de gravure du chrome. Commenous l'avons vu dans le troisième chapitre, nous disposons de deux méthodes de gravuredu chrome : la solution commerciale 7S10 ou la gravure plasma à base de dichlore. Lesgravures se font à température ambiante. Pour la gravure humide, 10 secondes sont su-santes pour graver 10 nm de Cr sans attaquer le masque de résine. Pour la gravure plasma,45 secondes sont nécessaires pour enlever le chrome non protégé et la sélectivité sur larésine QSR-5 est très bonne. Après gravure, la résine est enlevée grâce à un plasma O2.

(a) (b)

Figure 4.5 Images MEB de micromasques de Cr, obtenus par gravure humideavec la solution commerciale 7S10. (a) 500 nm, (b) 100 nm.

(a) (b)

Figure 4.6 Images MEB de micromasques de Cr, obtenus par gravure plasmaà base de dichlore. (a) 500 nm, (b) 100 nm.

Les gures 4.5 et 4.6 montrent les micromasques de chrome après gravure humide et aprèsgravure sèche respectivement. Alors que la gravure humide du chrome donne des contoursrugueux, ce qui est toutefois acceptable, la gravure sèche permet d'avoir des micromasquesavec un contour quasiment lisse et avec une très bonne reproductibilité. Sur la gure 4.6,nous distinguons bien les joints de grain du polysilicium qui recouvre le SiOx dans lesplaques du lot C178Pxx.

68

Page 89: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Gravure du polysilicium et de l'oxyde-non st÷chiométrique

Les micromasques de Cr vont servir à transférer les motifs dans les couches de polysiliciumet d'oxyde-non st÷chiométrique an de former des nanopiliers. Les couches sont gravéespar RIE avec l'hexauorure de soufre SF6. Nous avons aussi eectué des tests de gravurehumide avec un masque de nitrure de silicium, le polysilicium et l'oxyde étant gravésrespectivement par une solution de KOH 10 % à 40 C et une solution de HF 1 % àtempérature ambiante.

Des nanopiliers de 200 nm de diamètre obtenus par gravure humide sont montrés à lagure 4.7. Le nitrure qui sert de masque à la gravure a une épaisseur de 50 nm. Les micro-masques de nitrure ont été dénis par lithographie par faisceau d'électrons et par gravureplasma CF4. Les sur-gravures en-dessous des masques de nitrure sont clairement visibles,et la forme des piliers n'est pas régulière, ce qui montre bien la diculté de contrôleravec précision la forme de nanostructures en gravure humide. Les structures à 100 nm dediamètre n'étaient pas présentes après gravure.

Figure 4.7 Image MEB en vue inclinée (10) de nanopiliers de 200 nm dediamètre obtenus par gravure humide. Au centre se trouve une ligne de référencequi montre l'interface entre le nitrure de silicium et le polysilicium.

La gravure RIE permet de graver en seule fois le polysilicium, l'oxyde et le silicium sanschanger de gaz. Après un plasma SF6 avec un ux à 6 % (de 250 sccm) et une puissance de190 W, les nanopiliers sont réguliers et les ancs sont quasi-verticaux, comme le montrela gure 4.8. La gure 4.9 montre que nous avons bien gravé au-delà de la couche deSiOx, clairement visible sur les images MEB à fort grossissement. On peut toutefois noterune certaine rugosité sur les ancs et une sur-gravure du polysilicium juste au-dessusde la couche d'oxyde. Cette sur-gravure est probablement due à une certaine résistancede l'oxyde au plasma qui provoque un ralentissement de la gravure en profondeur etune augmentation de la vitesse de la gravure latérale. En augmentant le ux de gazdans la chambre du réacteur à 12 %, nous remarquons que les ancs des structures sontbeaucoup moins rugueux, comme le montre la gure 4.10. Une gravure de 10 min donnedes nanopiliers d'une hauteur moyenne de 200 nm, mesurée au prolomètre.

69

Page 90: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

(a) (b)

(c) (d)

Figure 4.8 Images MEB en vue inclinée (10) de réseaux de nanopiliers, obte-nus par gravure RIE avec un plasma SF6 6 % à 190 W, à partir de la plaqueC178P16. (a) 2 µm, (b) 500 nm, (c) 200 nm, (d) 100 nm.

70

Page 91: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

(a) (b)

Figure 4.9 Images MEB en vue inclinée (10) et à fort grossissement de na-nopiliers, obtenus par gravure RIE avec un plasma SF6 6 % à 190 W. (a) 2µm (plaque C178P11), (b) 500 nm (plaque C178P13). La couche de SiOx estclairement identiable.

(a) (b)

Figure 4.10 Images MEB en vue inclinée (10) et à fort grossissement de na-nopiliers, obtenus par gravure RIE avec un plasma SF6 12 % à 190 W, à partirde la plaque C178P10. (a) 2 µm, (b) 500 nm.

71

Page 92: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Isolation des nanopiliers

Comme nous l'avons vu dans le chapitre 3, l'utilisation d'un polymère diélectrique est unesolution simple pour isoler les nanostructures. La résine époxy SU-8 semble être un boncandidat car elle est stable après exposition et recuit, et est résistante aux solvants et auxattaques chimiques.

Préparation de la résine SU-8 La résine SU-8 est habituellement utilisée avec desépaisseurs allant de quelques micromètres à quelques centaines de micromètres. Cependantla hauteur des nanostructures ne dépassera pas les 200 nm. Par conséquent il faut diluerla résine an d'étaler des couches d'une épaisseur inférieure à 300 nm mais qui recouvrentles nanostructures. La SU-8 se dilue facilement dans le cyclopentanone.

Une ole de résine SU-8 a été préparée, en diluant de la SU-8 2015 [MicroChem, 2009] dansdu cyclopentanone à 85 % en poids. L'épaisseur de la résine peut se mesurer facilementsur un substrat de silicium, en utilisant le modèle de Cauchy car elle est transparente.Avec un étalement de 5000 tours/min pendant 30 s, la couche a une épaisseur d'environ255 nm.

Gravure et propriétés électriques de la résine SU-8 Des capacités métal/SU-8/substrat (MIS) ont été préparées pour des mesures de capacitance et de courant. Surdes morceaux de substrat de type N, la résine SU-8 diluée dans le cyclopentanone estétalée à 5000 tours/min pendant 30 s. Les échantillons sont ensuite placés sur une plaquechauante à 95 C pendant 1 min pour recuire la résine. Cette dernière est exposée auxultra-violets pendant 10 secondes. Elle est ensuite gravée dans un plasma O2, créé dans unappareil Plasmaline ou dans le système de gravure RIE March, pour réduire son épaisseur.La courbe 4.11 donne l'épaisseur de SU-8 gravée en fonction de la durée du plasma pourune puissance de 100 W dans les deux appareils. Les vitesses de gravure sont équivalentesdans les deux systèmes. Cependant il existe une diérence de comportement entre les deuxsystèmes. Pour l'appareil Plasmaline, la variation est linéaire pour des temps inférieurs à80 s. Pour des temps plus élevés, la vitesse de gravure n'est plus constante. Ceci est dû àune élévation de la température dans la chambre qui fait augmenter la vitesse de gravurede la SU-8. Pour l'appareil RIE, la vitesse de gravure reste constante car l'élévation detempérature est faible dans la chambre.

Après gravure, les échantillons sont placés dans un four traditionnel à 250 C pendant30 min pour améliorer l'assemblage des chaînes polymères (cross-linking) et stabiliser lespropriétés chimiques et électriques de la résine SU-8. Finalement des contacts électriquesCr/Au (10 nm/200 nm) de 100×100 µm2 de surface, sont déposés par évaporation parfaisceau d'électrons et formés par soulèvement en utilisant deux couches de résine, la LOR7A et la S1813. Cinq échantillons ont été préparés avec une épaisseur de résine allant de240 à 50 nm. Le tableau 4.2 donne l'épaisseur de la résine après chaque étape de fabrication

72

Page 93: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

0 20 40 60 80 100 120020406080100120140160180200220

Vitesse de gravure moyenne:1.7 nm/s

Epa

isse

ur d

e S

U-8

gra

vée

(nm

)

Temps de gravure (s)

P = 100 W

(a) Plasmaline

0 20 40 60 80 100 120 140020406080100120140160180200220240260

Epa

isse

ur d

e S

U-8

gra

vée

(nm

)

Temps de gravure (s)

Plasma O2 10% 100 W

Vitesse de gravure moyenne:1.9 nm/s

(b) RIE

Figure 4.11 Epaisseur de SU-8 gravée en fonction de la durée du plasma O2

pour une puissance de 100 W pour deux systèmes de gravure.

des capacités. Le recuit de stabilisation diminue l'épaisseur de la résine de 3 - 5 nm. Celaest probablement dû à l'évaporation de solvant.

Tableau 4.2 Épaisseurs de la résine SU-8 après les étapes de recuit et de gra-vure.

Echantillons A B C D EtSU-8 après recuit et exposition (nm) 255 260 257 256 256tSU-8 après plasma O2 (nm) 248 197 153 89 56tSU-8 après recuit de stabilisation(nm)

243 192 150 85 53

Les mesures électriques ont été réalisées grâce un appareil Keithley SCS-4200. Sur la facearrière des échantillons, un alliage indium-gallium liquide à température ambiante est étalépour obtenir un contact ohmique. Les échantillons sont collés à un support mécanique avecde la laque d'argent.

La gure 4.12 présente les caractéristiques C-V des capacités MIS. Nous avons appliquéaux structures un balayage en tension de 11 V à −6V, c'est-à-dire de l'accumulationvers l'inversion. Les échantillons sont éclairés et la fréquence est de 1MHz. La zone d'ac-cumulation est clairement visible et la constante diélectrique de la résine SU-8 peut enêtre extraite. Les valeurs calculées à partir des capacités en accumulation se retrouventdans le tableau 4.3. La valeur moyenne de la constante diélectrique de SU-8 est 3.9, ellecorrespond à celle donnée dans l'article de Daniel et al. [Daniel et al., 2001].

73

Page 94: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

-6 -4 -2 0 2 4 6 8 10 120.0

1.0p

2.0p

3.0p

4.0p

5.0p

6.0p

7.0p

Cap

acita

nce

(F)

Tension appliquée (V)

Echantillon A Echantillon B Echantillon C Echantillon D Echantillon E

f = 1 MHz

Figure 4.12 Caractéristiques C-V descapacités métal/SU-8/silicium, à une fré-quence de 1 MHz sous éclairement.

Tableau 4.3 Constantes diélectriques ε de la résine SU-8 à 1 MHz, extraitesdes courbes C-V.

Echantillons A B C D EεSU-8 4 4 3.8 3.7 3.8

Un aller-retour en tension a aussi été eectuée pour détecter la présence de charges ou dedéfauts qui induiraient un hystérésis dans les courbes C-V. Sur la gure 4.13, l'hystérésisest de 400 mV ce qui est relativement faible. Cet hystérésis est probablement dû à uneinjection et un piégeage de charges dans le diélectrique [Ng et al., 2008], ou à une migrationd'ions du substrat vers le diélectrique [Yildirim et al., 2008]. Néanmoins plusieurs allers-retours ne changent pas cet hystérésis.

-6 -4 -2 0 2 4 6 8 10 121.0p

1.2p

1.4p

1.6p

1.8p

2.0p

2.2p

2.4p

Cap

acita

nce

(F)

Tension appliquée (V)

Aller Retour

Echantillon Cf = 1 MHz

Figure 4.13 Caractéristique C-V del'échantillon C, à une fréquence de 1MHz sous éclairement. La tension estbalayée de 11 V à −6V, ensuite de −6Và 11 V.

La gure 4.14 présente les caractéristiques I-V des capacités MIS. Une rampe de tensionest appliquée de 0 à 14 V, avec une faible vitesse de rampe de 22mV/s an de réduire lecourant de déplacement dans les structures. Pour les échantillons A, B et C, le courantest très faible, en-dessous de 100 fA. Il augmente lentement avec le balayage, ce qui cor-respondrait à un courant thermoïonique. Pour l'échantillon D, le courant est plus élevémais reste inférieur 1 pA. En ce qui concerne l'échantillon E, les capacités présentent une

74

Page 95: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

augmentation rapide du courant au début de la rampe et une tension de claquage entre2 et 3 V. Par conséquent la résine SU-8 avec une épaisseur inférieure à 100 nm ne semblepas avoir de bonnes propriétés isolantes.

0 2 4 6 8 10 12 1410-14

10-13

10-12

Cou

rant

(A)

Tension appliquée (V)

Echantillon A Echantillon B Echantillon C Echantillon D

Vitesse de rampe: 0.022 V/sCalibre: 1 pA

Figure 4.14 Caractéristiques I-V des ca-pacités métal/SU-8/silicium.

Isolation de nanostructures avec la SU-8 Après la gravure plasma qui permet decréer les nanostructures, la résine SU-8 est étalée an de les recouvrir. La gure 4.15amontre une vue en coupe MEB de la couche de résine recouvrant des lignes, déniespar lithographie par faisceau d'électrons et par gravure RIE à partir de C178P13, dontla largeur est équivalente aux diamètres des nanopiliers, c'est-à-dire 2µm, 500, 200, et100 nm. Nous remarquons que la couche de SU-8 n'est pas totalement planaire : elle estlégèrement plus épaisse au niveau des lignes. Ceci est dû à la topographie de surface,c'est-à-dire à la hauteur des lignes, qui perturbe l'étalement de la résine. Après étalement,une gravure au plasma O2 est nécessaire pour exposer le sommet des nanopiliers ande déposer des contacts électriques ultérieurement. Comme le montre la gure 4.15b, lasurface de la résine SU-8 devient légèrement rugueuse et il y a des résidus de résine sur le

(a) (b)

Figure 4.15 Images MEB en vue inclinée de lignes de 200 nm de hauteur,fabriquées à partir de C178P13, (a) après étalement d'une couche de résine SU-8 de 250 nm d'épaisseur, (b) après une gravure RIE à l'oxygène de la résineSU-8.

75

Page 96: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

sommet des lignes. Ces résidus peuvent être problématiques pour obtenir un bon contactélectrique avec les piliers.

Pour obtenir une couche de résine planaire avant gravure, nous avons préparé de larésine SU-8 2015 diluée dans le cyclopentanone à 70 % en poids. Après étalement à6000 tours/min pendant 30 s, l'épaisseur de résine est de 620 nm. La gure 4.16a montreune vue en coupe MEB d'une couche de cette résine recouvrant des lignes. L'image MEBmontre bien que l'étalement d'une couche plus épaisse de résine est beaucoup moins per-turbé par la topographie de surface. Cependant l'image MEB de la gure 4.16b montreque la surface de la résine, après le plasma O2, est beaucoup plus rugueuse. Ainsi le tempsde gravure au plasma O2 a une inuence importante sur la rugosité de surface de la SU-8 [Walther et al., 2007]. Aussi les résidus de résine au sommet des structures est plusimportante. Des tests de gravure avec diérents paramètres de plasma sont nécessairespour déterminer s'il est possible de diminuer la rugosité et les résidus. Cependant il estconnu qu'il est dicile d'enlever complètement une couche de SU-8, ce qui expliquerait laprésence de la rugosité et des résidus.

(a) (b)

Figure 4.16 Images MEB en vue inclinée de lignes de 180 nm de hauteur,fabriquées à partir de C178P13, (a) après étalement d'une couche de résine SU-8 de 620 nm d'épaisseur, (b) après une gravure RIE à l'oxygène de la résineSU-8.

Contacts électriques

Chaque nanopilier isolé va être caractérisé électriquement pour étudier le transport àtravers la couche de SiOx, mais aussi sous excitation optique pour des mesures de pho-tocourant. Il faut par conséquent utiliser des contacts transparents ou semi-transparents.Les contacts transparents sont en général des oxydes à large gap (> 3 eV) qui possèdentune dégénérescence d'électrons introduite par une non-st÷chiométrie ou des dopants. Lesmatériaux tels que les oxydes de zinc, d'étain, de cadmium et l'oxyde d'indium dopé àl'étain ITO (Indium Tin Oxide) sont utilisés comme contacts transparents [Chopra et al.,1983].

76

Page 97: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

L'ITO est un bon candidat. En eet il est largement utilisé dans les capteurs CCD. Deplus il forme un bon contact ohmique avec le silicium polycristallin. Sa transmittancevarie entre 80 et 90 % pour les longueurs d'onde du visible et du proche infra-rouge [Ven-katesan et al., 1989]. A l'université de Sherbrooke, il est possible de déposer de l'ITOpar évaporation par faisceau électronique. Cependant les couches d'ITO sont opaques etnon conductrices après dépôt. Il est nécessaire de faire un recuit sous atmosphère oxy-dante pour les rendre transparentes et conductrices [Chopra et al., 1983]. La températureminimale du recuit est 500 C, ce qui n'est pas compatible avec la résine SU-8 dont latempérature de dégradation se situe à environ 380 C. De plus une recuit oxydant risquede diminuer fortement l'épaisseur de SU-8.

L'utilisation des contacts semi-transparents semble être la meilleure solution. Le métal doitêtre susamment mince pour avoir une bonne transmittance dans le visible. Dans les sallesblanches du CRN2, le chrome, l'aluminium, et le titane sont disponibles pour faire descontacts électriques. Des simulations ont été réalisées pour calculer la transmittance avecle logiciel commercial TFCalc [SSpectra, 2009]. Il existe aussi le logiciel libre OpenFilterstout aussi performant [LaRFIS, 2007]. La structure simulée est un empilement composéd'une couche métallique d'épaisseur variable, de 50 nm de polysilicium et de 15 nm d'oxydede silicium, comme le montre la gure 4.17. Le milieu d'entrée et de sortie est l'air. Lesvaleurs des indices de réfraction n et d'extinction k, en fonction de la longueur d'onde,des diérents matériaux sont celles fournies par la compagnie SOPRA [SOPRA, 2009].

Métal

Air

Air

(15 nm)

Poly−Si (50 nm)

SiO2

Figure 4.17 Structure utiliséepour les simulations de transmit-tance avec TFCalc.

D'après la gure 4.18, le titane laisse passer le plus de lumière dans le visible. Entre 600et 800 nm, les structures avec le chrome ou le titane ont des transmittances semblables.Comme on peut s'y attendre, des couches métalliques inférieures à 10 nm seraient l'idéalpour faire des couches semi-transparentes. Cependant il n'est pas certain d'avoir unecouche uniforme avec un bon recouvrement du sommet des plots à ces épaisseurs. Nouschoisirons plutôt des épaisseurs entre 20 et 30 nm pour assurer une bonne continuitéélectrique des couches même si la transmittance est inférieure à 15 %.

Les contacts électriques ont été fabriqués de telle sorte qu'il existe une ouverture centrale,dans laquelle le métal est semi-transparent an de pouvoir exciter optiquement le nano-pilier. Le contact est plus épais autour de cette ouverture an de poser des électrodes

77

Page 98: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

300 400 500 600 700 800 9000

10

20

30

40

50

60

70

Tran

smitt

ance

(%)

Longueur d'onde (nm)

structure sans métal

(a)

300 400 500 600 700 800 9000

5

10

15

20

25

30

Tran

smitt

ance

(%)

Longueur d'onde (nm)

10nm Al 20nm Al 30nm Al 40nm Al 50nm Al

(b)

300 400 500 600 700 800 9000

5

10

15

20

25

30

Tran

smitt

ance

(%)

Longueur d'onde (nm)

10nm Cr 20nm Cr 30nm Cr 40nm Cr 50nm Cr

(c)

300 400 500 600 700 800 9000

5

10

15

20

25

30

Tran

smitt

ance

(%)

Longueur d'onde (nm)

10nm Ti 20nm Ti 30nm Ti 40nm Ti 50nm Ti

(d)

Figure 4.18 Simulations de transmittance pour diérents métaux et pour dif-férentes épaisseurs de métal. (a) Structure sans métal. (b) Structure avec del'aluminium. (c) Structure avec du chrome. (d) Structure avec du titane.

pour la polarisation. La fabrication des contacts électriques se fait de la façon suivante.Une bi-couche de résines LOR 7A/S1813 est utilisée pour dénir les contacts par sou-lèvement. Des croix d'alignement ont été dénies préalablement par faisceau d'électronssur les échantillons, en même temps que les motifs des nanoplots, pour pouvoir aligner lephotomasque qui sert à dénir les contacts. Après avoir exposé aux UV et développé la bi-couche, une couche mince métallique de Cr ou de Ti est évaporée par faisceau électronique,et est ensuite soulevée. Suivent un nouvel étalement d'une bi-couche LOR 7A/S1813, uneexposition avec alignement, le développement, l'évaporation de 10 nm de chrome et de 200nm d'or, et enn le soulèvement. Les contacts électriques possèdent une ouverture centraledans laquelle il n'y a que la couche semi-transparente de métal (Cr ou Ti) an de pouvoirexciter optiquement la structure. La gure 4.19 présente un ensemble de contacts avec lescroix d'alignement vu au microscope électronique à balayage. Les contacts sont carrés etfont 100 µm de côté. L'ouverture centrale est de 25 µm de côté. Un plus fort grossissementsur un contact permet de voir un pilier de 2 µm de diamètre dans l'ouverture centrale.

78

Page 99: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

(a) (b)

(c) (d)

Figure 4.19 Images MEB de contacts électriques avec ouverture centrale. (a)Vue de dessus d'un groupement de contacts électriques. (b) Vue de dessus d'uncontact électrique, connecté à un plot de 2 µm de diamètre. (c) Vue inclinée d'uncontact électrique, connecté à un plot de 2 µm de diamètre. (d) Vue inclinée àfort grossissement d'un plot de 2 µm de diamètre, isolé par la résine SU-8.

4.2 Application à d'autres nanocristaux

4.2.1 StructuresNanocristaux de germanium déposés par LPCVD

Des plaques contenant des nanocristaux de germanium ont été fabriquées au CEA-LETI.Elle ont été utilisées pour la thèse de Mehdi Kanoun [Kanoun, 2004; Kanoun et al., 2006].La gure 4.20 présente une vue schématique de l'empilement des plaques P01 à P25 du lotC520Pxx. Un substrat de type P est oxydé pour former un oxyde tunnel, dont l'épaisseurvarie entre 1.2 et 4 nm selon la plaque. Ensuite des îlots de germanium sont obtenus parnucléation sélective sur des nuclei de silicium. Le dépôt des nuclei de Si et des nanocristauxde Ge ont été eectuées par LPCVD [Baron et al., 2003]. La taille des îlots varie de 6 à 15nm, et leur densité entre 2.7 et 6× 1011 cm−2. Un oxyde de contrôle de 10 nm d'épaisseurest déposé sur toutes les plaques.

79

Page 100: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Oxyde déposé HTO

nc−Ge

Oxyde thermique

Si type P Figure 4.20 Vue schématiquede l'empilement du lot C520Pxx

Tableau 4.4 Descriptif des plaques C520PxxPlaques 01 02 03 04 15 16 17 18 19 20 21 25Oxyde tunnel (nm) 1.2 1.5 2 2.5 2.5 2.5 2.5 2.5 4 4 4 4Øîlots (nm) 8.5 8.5 8.5 8.5 6 8.5 15 réf. 6 8.5 15 réf.Densité des îlots(x1011 cm−2)

6 6 6 6 2.7 2.7 2.7 réf. 2.7 2.7 2.7 réf.

Oxyde de contrôle(nm)

10 10 10 10 10 10 11 10. 10 10 10 10

Nanocristaux d'arséniure d'indium crus par MBE

Les échantillons ont été fabriqués durant la thèse de Moïra Hocevar à l'INL [Hocevar,2008; Hocevar et al., 2007]. L'empilement est semblable à celui présenté à la gure 4.20.Un substrat de type N ou P est oxydé pour former un oxyde tunnel de 1.5 à 5 nmd'épaisseur. Des nanocristaux d'InAs sont ensuite cru par MBE. Le diamètre des îlots estde 5nm et leur densité est d'environ 2× 1010 cm−2. Ils sont nalement recouverts d'unoxyde déposé par ECR-PECVD, dont l'épaisseur varie de 4 à 10 nm.

Tableau 4.5 Descriptif des échantillons EPxxxx et SiOxxxEchant. 2620-1 2620-2 2620-3 2620-4 2469 2476 2298 SiO510 SiO514Dopage Si N N P P P P P P POxydetunnel(nm)

1.5 2 3.5 5 3.5 3.5 3.5 3.5 3.5

Øîlots(nm)

8 8 8 8 8 8 8 réf. réf.

Densité(x1010 cm−2)

1.7 1.7 1.7 1.7 2 2 2 réf. réf

Oxyde decontrôle(nm)

5 5 5 5 4 8 10 4 10

4.2.2 Procédé de fabricationLa gure 4.21 illustre le procédé de fabrication utilisé sur les structures décrites ci-dessus.Chaque étape du procédé est détaillée par la suite.

Dépôt d'une couche sacricielle : le silicium amorphe

Les plaques et échantillons décrits ci-dessus ne possèdent pas de grille de polysiliciumdopé. Dans le procédé utilisé pour les plaques C178Pxx, la couche de polysilicium permet

80

Page 101: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

(a) (b) (c)

(d) (e) (f)

Figure 4.21 Illustration du procédé de fabrication et d'isolation de nanostruc-tures contenant des nanocristaux déposés ou crus sur des plaques dépourvuesde grille de polysilicium. (a) Dépôt de silicium amorphe par PECVD. (b) Dépôtde 10 nm de Cr et de 30 nm de QSR-5 (c) Lithographie par faisceau d'électrons.(d) Développement de la QSR-5 et gravure ICP du chrome. (e) Gravure RIE dusilicium amorphe, de l'oxyde de silicum et du substrat de silicium, pour formerles nanopiliers. (f) Isolation des nanostructures avec la résine SU-8 et gravuredu silicium amorphe.

l'isolation de la couche de SiOx avec la résine SU-8. Sans le polysilicium, cette isolationest impossible. Au CRN2, il est possible de déposer du polysilicium par LPCVD, maisnon-dopé in situ. Une implantation ionique et un recuit d'activation des dopants sontnécessaires. Cependant le recuit risque d'altérer les propriétés physiques et électriques desstructures. Ainsi nous avons plutôt opté pour l'utilisation d'une couche sacricielle. Lesilicium déposé par PECVD semble le candidat idéal. Déposé aux alentours de 300 C, lesilicium est amorphe. Il peut donc se graver isotropiquement avec du KOH ou du TMAH,et la gravure humide est sélective sur l'oxyde de silicium. Il peut aussi se graver par plasmadans le système ASE, avec une très bonne sélectivité sur l'oxyde.

Fabrication et isolation de nanostructures

Après avoir déposé le silicium amorphe par PECVD, d'une épaisseur inférieure à 150 nm,le procédé de fabrication et d'isolation des nanostructures est semblable à celui utilisé pourles plaques C178pxx. 10 nm de chrome et 30 nm de QSR-5 sont déposés par évaporation. Lalithographie par faisceau d'électrons permet de dénir dans la QSR-5 des motifs circulairesdont le diamètre varie de 2µm à 100 nm. Après développement de la résine électronégative,les motifs sont transférés dans la couche de chrome grâce à la gravure sèche à base dedichlore. Ensuite ils sont transférés dans les couches inférieures en utilisant la gravure RIEà base de SF6, qui permet de graver le silicium amorphe, l'oxyde de contrôle et l'oxydetunnel, et le substrat. Des nanopiliers sont ainsi obtenus.

81

Page 102: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Ces nanopiliers sont isolés de la même façon décrite auparavant : étalement et recuit de larésine SU-8, exposition UV, gravure plasma O2 et recuit de stabilisation. Il ne reste plusqu'à enlever la couche sacricielle.

(a) (b)

Figure 4.22 Images MEB de trous dans la résine SU-8, avec des nanocristauxde germanium, fabriqués à partir de la plaque C520P04. Les structures ont étéobtenues après gravure du silicium amorphe dans une solution de TMAH à 70C pendant 5 min. (a) Image d'un trou de 2 µm de diamètre en vue inclinée(30 ). (b) Image d'un trou de 500 nm de diamètre en vue de dessus.

La gure 4.22 conrme la présence de nanocristaux au fond de trous dans la résine SU-8,après gravure du silicium amorphe dans une solution de TMAH.

Dépôt des contacts métalliques

Le dépôt de métal dans les trous formés dans la SU-8 peut être un problème. En eetle facteur de forme (textitAspect Ratio), déni par le rapport AR =hauteur (ou profon-deur)/largeur, et la technique de dépôt ont un impact sur l'uniformité du dépôt. L'évapo-ration par faisceau d'électrons ne donne pas des couches métalliques conformes. De plusdes trous profonds pourraient ne pas se remplir complètement avec cette technique. Parconséquent il faudra des trous avec un facteur de forme faible, c'est-à-dire peu profonds,pour connecter les nanostructures avec des contacts électriques déposés par évaporation àfaisceau électronique. Pour déposer une couche métallique uniforme, le porte-échantillondevra tourner à un certain angle pour assurer un bon recouvrement des marches. L'élec-troplaquage, utilisé notamment dans les interconnexions, serait plus adéquat pour destrous profonds.

4.3 ConclusionLa première étape de cette thèse a été de développer un procédé de fabrication de na-nostructures contenant des nanocristaux de silicium. A partir de plaques sur lesquellesont été déposées une couche d'oxyde non-st÷chiométrique et une couche de polysiliciumdopé, nous avons réussi à fabriquer des nanopiliers, dont le diamètre varie de 2 µm à

82

Page 103: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

100 nm, grâce à la lithographie par faisceau d'électrons et la gravure plasma. Pour l'iso-lation électrique de ces nanopiliers, la caractérisation électrique de la résine SU-8 dansdes capacités MIS montre qu'elle est un bon isolant. Cependant la gravure de la résinepour découvrir le sommet des piliers est à optimiser, car des résidus de résine peuventempêcher un bon contact entre l'électrode métallique et le polysilicium. Pour les contactsélectriques, le titane ou le chrome peut être utilisé comme contact semi-transparent.

Ce procédé est utilisable pour d'autres types de nanocristaux, à condition d'avoir unegrille de polysilicium dopé. Nous avons développé un second procédé pour isoler quelquesnanocristaux, avec des plaques ou échantillons dépourvus de grille de polysilicium. Cesecond procédé dière du premier par l'utilisation d'une couche sacricielle de siliciumamorphe qui est déposé avant les étapes de lithographie par faisceau d'électron et degravure plasma. Le silicium amorphe peut être enlevé par gravure humide ou par gravureplasma après isolation des nanostructures.

83

Page 104: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

84

Page 105: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

CHAPITRE 5Caractérisation structurale, optique et élec-trique du SiOx et des nanopiliers

Dans ce cinquième chapitre, nous présenterons les résultats de caractérisation de l'oxydenon st÷chiométrique fourni par le CEA-LETI. Tout d'abord, nous présenterons la carac-térisation TEM qui nous a permis de choisir la structure la plus adéquate pour la suitede l'étude. Ensuite nous parlerons des propriétés d'émission lumineuse des îlots de sili-cium présents dans le SiOx, à l'aide de la cathodoluminescence. Enn nous étudierons letransport électrique à travers le SiOx et le photocourant, aussi bien sur les capacités degrande surface que sur des nanopiliers.

5.1 Analyse des couches SiOx par microscopie élec-tronique en transmission

Pour vérier la présence des nanocristaux, nous avons eectué des observations au mi-croscope électronique en transmission (MET). La gure 5.1 montre trois vues en couped'échantillons provenant des plaques C178 P10, P13 et P16, ayant respectivement pourépaisseur nominale 5,10 et 15 nm avec une recuit oxydant de 5 min.

Comme attendu, l'empilement poly-Si/SiOx/Si est clairement identiable. Cependant, surtoutes les images TEM, nous remarquons que l'interface entre le SiOx et le polysiliciumn'est pas bien déni. Il est dicile d'expliquer pourquoi cette interface n'est pas abrupte.Nous avons mesuré l'épaisseur de la couche d'oxyde non st÷chiométrique : elle est res-pectivement de 16, 18 et 23 nm ± 1nm pour P10, P13 et P16. La diérence entre lesépaisseurs mesurées et les épaisseurs nominales est sûrement due au recuit oxydant. Eneet les atomes d'oxygène peuvent diuser à travers la couche d'oxyde et consommerune partie du silicium à l'interface substrat/oxyde et ainsi une ne couche d'oxyde seforme à l'interface [Con et al., 2006]. De la même manière, il se peut qu'une partie desnanocristaux de Si formés lors de la démixtion soit consommée.

L'échantillon issu de la plaque P10 ne contient pas de nanocristaux après une analysesur 1.5µm le long de la tranche. Il est fort possible que le recuit oxydant ait consomméla totalité des nanocristaux formés. Pour les plaques P13 et P16, les nanocristaux sontvisibles grâce aux plans cristallins du silicium. Les nanocristaux de la plaque P13 ont unetaille qui varie entre 2 et 5 nm, alors que ceux de la plaque P16 ont une taille qui varieentre 5 et 7 nm. La densité des nanocristaux est aussi plus élevée sur la plaque P16. Sur lagure 5.1c, un plan de nanocristaux est clairement visible. Il se trouve à environ 5 nm de

85

Page 106: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

(a) C178P10 (b) C178P13

(c) C178P16

Figure 5.1 Vues en coupe TEM de trois plaques du lot C178Pxx.

86

Page 107: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

l'interface substrat/SiO2. D'après ces observations, le recuit oxydant semble consommerles nanocristaux qui se trouvent proches de la surface de la couche de SiOx et forme unplan de nanocristaux proches de l'interface substrat/SiO2. La taille et la densité nales desnanocristaux dépendent de l'épaisseur initiale du dépôt. En eet plus la couche déposéeest épaisse, plus le volume en excès de silicium sera important. Il serait intéressant defaire des analyses TEM avant le recuit oxydant.

La plaque P10 nous servira de référence pour les caractérisations électriques et optiques descouches d'oxyde non st÷chiométrique. La diérence de taille et de densité des nanocristauxentre les plaques P10 et P13 nous permettra d'évaluer l'inuence des nanocristaux sur lespropriétés optiques et le transport dans les couches.

5.2 Analyse des propriétés optiques des nanocristauxde silicium dans le SiOx par cathodoluminescence

5.2.1 Principe de la cathodoluminescence

La cathodoluminescence est un phénomène électrique et optique que l'on observe lorsqu'unfaisceau d'électrons bombarde un matériau, ce qui provoque l'émission de photons. Cephénomène était largement utilisé dans les télévisions et écrans à tube cathodique, etdans les oscilloscopes.

Ce phénomène est aussi utilisé pour l'analyse de matériaux, notamment semiconducteurs[Parish et Russell, 2007]. Le faisceau d'électrons est généré par le canon à électrons d'unmicroscope électronique à balayage. Le faisceau d'électrons provoque la ionisation desatomes du matériau et, ainsi des électrons passent de la bande de valence à la bande deconduction. La recombinaison d'un électron avec un trou de la bande de valence entraînel'émission d'un photon dont la longueur d'onde correspond au gap du matériau. L'électronexcité peut être aussi piégé par un défaut ou une impureté, les photons émis auront alorsune longueur correspondant à la position énergétique de ces pièges.

Les photons sont récupérés par un système optique, composé d'un miroir parabolique,d'un monochromateur et d'un photodétecteur, comme un tube photomultiplicateur ouune caméra CCD. Au CRN2, le microscope Zeiss Supra 55VP, décrit au chapitre 3, estéquipé d'un dispositif d'analyse par cathodoluminescence. La gure 5.2 montre une photodu microscope avec le système optique dédié à la cathodoluminescence. Il est possibleaussi de faire de la cathodoluminescence à basse température avec ce microscope.

Le principale avantage de la cathodoluminescence est que la luminescence produite parle faisceau d'électron est locale. On peut ainsi étudier la cathodoluminescence sur desnanostructures. C'est pourquoi nous l'avons utilisée sur les nanopiliers qui possèdent unecouche de SiOx.

87

Page 108: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Figure 5.2 Photo du microscope élec-tronique à balayage Zeiss Supra 55VPéquipé d'un système de cathodolumines-cence, dans les salles blanches du CRN2.

5.2.2 Cathodoluminescence du SiOx

Les spectres de CL ont été obtenus à une tension d'accélération de 5 kV. La gure 5.3présente les spectres de CL d'échantillons provenant des plaques C178P10, P13 et P16,à 20 K. Un spectre de CL d'un oxyde thermique de 100 nm d'épaisseur, à températureambiante, est aussi présenté pour comparaison.

2 3 4 5 60

1000

2000

3000

4000

5000

6000

7000

8000

Inte

nsité

de

CL

(cps

)

Energie (eV)

x1/40T=300 K

P16T=20 K

P13T=20 K

P10T=20 K

100 nmSiO

2 thermique

1.9 2.7 4.252.2

Figure 5.3 Spectres de cathodolumi-nescence de C178P10, P13 et P16, etd'un oxyde thermique. Les spectres ontété décalés pour plus de clarté.

Les spectres CL des trois plaques C178Pxx présentent deux pics de luminescence encommun : à 1.9 eV (650 nm) et 2.7 eV (460 nm). Ces deux pics se retrouvent aussisur le spectre de l'oxyde thermique. Ces deux pics ont été observés maintes fois dansla littérature. Le pic à 1.9 eV correspond aux défauts radiatifs NBOHC (Non BridgingOxigen Hole Center) ≡SiO· [Suzuki et al., 1995; Kalce et Phillips, 1995; Inokuma et al.,1998a; Fitting et al., 2001] alors que le pic à 2.7 eV, le plus intense sur les spectres, estattribué aux lacunes d'oxygène de diérents formes : les lacunes d'oxygène neutres ≡SiSi≡ [Inokuma et al., 1998a; Takahashi et al., 2000a], les centres silylènes =Si : [Goldberget al., 1996; Fitting et al., 2001; Lopez-Estopier et al., 2007], ou les centres E ′ ≡Si· [Kalceet Phillips, 1995; Liu et al., 1999]. Les deux autres pics de luminescence, à 2.2 eV (560 nm)et 4.25 eV (290 nm), relevés sur le spectre de l'oxyde thermique, ont aussi été observésdans la littérature. L'origine du pic à 2.2 eV n'est pas encore très claire. Inokuma et al.

88

Page 109: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

parlent de radicaux peroxy SiOO· [Inokuma et al., 1998a], alors que l'équipe de H.-J.Fitting parle de regroupements d'atomes de silicium dont les liaisons avec l'oxygène sontbrisées à cause du bombardement d'électrons [Salh et al., 2007; Fitting et al., 2008]. Lepic à 4.25 eV provient de défauts liés à l'atome d'oxygène [Fitting et al., 2008].

Les spectres de P13 et P16 montrent un épaulement large, entre 3 et 4 eV, qui n'est pasprésent sur ceux de P10 et de l'oxyde thermique. Ce signal au-dessus de 3 eV pourrait êtrela signature du gap direct des nanocristaux de silicium. Il semble en eet que le signalsoit décalé vers les plus hautes énergies pour la plaque P13 qui présente des nanocristauxde plus petite taille.

Pour des diamètres moyens d'îlot de 3.5 et 6 nm, les énergies de bandes interdites devraientse situer respectivement aux alentours de 1.8 et 1.5 eV [De La Torre, 2003]. Cependantaucun signal attribué aux nanocristaux n'est visible à ces énergies. Ceci peut s'expliquerpar l'énergie d'excitation utilisé en CL. Dans notre cas, l'énergie des électrons utilisée estde 5 keV, alors qu'en PL l'énergie utilisée n'est que de quelques eV. Ainsi des électronsde haute énergie permettent d'exciter une large gamme d'énergie dans l'oxyde de silicium[Suzuki et al., 1995]. De plus, le bombardement d'électrons de l'oxyde de silicium génèrentet transforment des défauts à partir de précurseurs tels que la lacune d'oxygène et leNBOHC [Suzuki et al., 1995; Salh et al., 2006]. Un nombre important de ces défauts crééspourraient entraîner une luminescence plus importante que celle des nanocristaux.

Des nanopiliers fabriqués par gravure plasma ont été observés en mode panchromatique dela cathodoluminescence. Les gures 5.4 et 5.5 montrent les images en CL et en électronssecondaires de réseaux de nanostructures de diérents diamètres, fabriqués à partir deP10 et P13 respectivement, à température ambiante. Les nanopiliers sont bien visiblesen mode CL, ce qui est dû a priori à la luminescence du SiOx. Aussi le signal d'intensitéintégrée est plus élevé sur les nanopiliers qu'en dehors des piliers. Cependant les spectresde CL en mode spot sur les piliers sont dicilement exploitables car le rapport signal/bruitest faible.

89

Page 110: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

(a) 200 nm (b) 100 nm

Figure 5.4 Images de réseaux de plots de diérents diamètres, fabriqués àpartir de C178P10, en CL (droite) et en électrons secondaires (gauche), à 300K.

(a) 500nm (b) 200 nm

Figure 5.5 Images de réseaux de plots de diérents diamètres, fabriqués àpartir de C178P13, en CL (droite) et en électrons secondaires (gauche), à 300K.

90

Page 111: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

5.3 Étude du chargement et du transport dans lesstructures avec SiOx

5.3.1 Le chargement dans les structures avec nanocristaux

Pour étudier le chargement dans les structures qui possèdent des nanocristaux, la caracté-risation C-V est la méthode la plus utilisée. La théorie relative à la capacité métal-oxyde-semiconducteur et à la caractérisation C-V peut se trouver dans l'ouvrage de Sze [Sze,1981] ou celui de Nicollian et Brews [Nicollian et Brews, 1982].

Le chargement en trous ou en électrons de nanocristaux va entraîner un décalage entension sur une courbe C-V, car les charges piégés dans le diélectrique modient sonchamp électrique. Comme le montre la gure 5.6, un chargement en électrons provoqueraun décalage à droite, alors que le décalage se fera à gauche s'il y a un chargement en trous.La courbe C-V de référence peut s'obtenir soit sur une structure sans îlots, soit sur unestructure avec îlots vierge, c'est-à-dire non stressée.

Figure 5.6 Caractéristiques C-V d'une structure MOS sans nanocristaux (ré-ference) et d'une structure avec nanocristaux de silicium après diérents stressen tension. Le décalage à droite est dû à un chargement d'électrons, alors celuià gauche provient d'un chargement de trous [Busseret et al., 2000].

Avec un plan de nanocristaux de silicium dans un oxyde de silicium, le décalage de latension de bandes plates dû au chargement de ces nanocristaux peut s'écrire [Tiwariet al., 1996] :

∆VFB =qnD

εox

(tCO +

1

2

εoxεSi

dîlots

)(5.1)

où n est le nombre de charges par îlot, D est la densité d'îlots, tCO est l'épaisseur del'oxyde de contrôle, dîlots est le diamètre moyen des îlots, εSi et εox sont les constantesdiélectriques respectives du silicium et de son oxyde. Cette expression est obtenu d'aprèsun modèle de plaques parallèles, valable seulement si l'épaisseur de l'oxyde de contrôleest grande devant le diamètre des îlots.

91

Page 112: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

5.3.2 Les diérents mécanismes de conduction

La matrice diélectrique, par exemple un oxyde ou un nitrure de silicium, dans laquelle setrouvent en général les nanocristaux, est importante car ses propriétés électriques dé-nissent la conductivité du système qui contient des nanocristaux.

Dans une structures métal-isolant-semiconducteur (MIS), on distingue deux mécanismesde conduction : le mécanisme contrôlé par l'interface métal/diélectrique et celui contrôlépar le volume du diélectrique. Pour le premier, on retrouve le régime tunnel avec le couranttunnel direct et le courant Fowler-Nordheim. Il existe aussi l'émission thermoïonique maisnous ne l'aborderons pas, en raison de la hauteur de barrière Si/SiO2 qui rend ce type decourant minoritaire. Pour le second, on distingue le régime Poole-Frenkel et la conductionpar saut (Hopping).

Injection par tunnel direct ou Fowler-Nordheim

La conduction tunnel de type Fowler-Nordheim, proposée par Fowler et Nordheim [Fow-ler et Nordheim, 1928], est liée à la théorie quantique. Un électron provenant du métala une probabilité non nulle de se trouver à un instant donné de l'autre côté de la bar-rière de potentiel. Ainsi certains électrons peuvent traverser la barrière de potentiel sansavoir l'énergie nécessaire pour franchir la barrière. L'injection de type Fowler-Nordheims'observe pour des oxydes épais (> 4 nm) et à des champs électriques élevés, lorsque latension vue par la jonction électrode/isolant est au moins égale à la hauteur de barrière.On parle de conguration triangulaire, comme le montre la gure 5.7a, car la barrièreénergétique vue par les électrons est triangulaire. L'injection Fowler-Nordheim est aussivalable pour les trous. Lorsqu'un électron traverse la barrière, il se crée une force image(force attractive) qui dépend de la constante diélectrique de l'isolant et de la distance àlaquelle se trouve l'électron de l'interface électrode/isolant. Cette force image provoquel'abaissement de la barrière [Hesto, 1986]. Pour l'oxyde de silicium, cet abaissement estnégligeable en raison de la hauteur de barrière [Weinberg et Hartstein, 1976].

A T = 0 K, l'expression de la densité de courant Fowler-Nordheim JFN en fonction duchamp électrique Fdiel dans le diélectrique est donnée par [Lenzlinger et Snow, 1969] :

JFN(Fdiel, T = 0K) = AFNFdiel2 exp

(− BFN

Fdiel

), avec

AFN =q3m?

e

8πm?dielhqΦ0

BFN =8π

√2m?

dielΦ03/2

3hq

(5.2)

où q est la charge de l'électron, h la constante de Planck, Φ0 la hauteur de barrière, m?e la

masse eective de l'électron dans l'électrode et m?diel la masse eective de l'électron dans

l'oxyde.

92

Page 113: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

La hauteur de barrière Φ0 est dépendante de la température. Ainsi un facteur correctif aété introduit pour tenir compte de la température dans l'équation 5.2 [Good et Müller,1956] :

JFN(Fdiel, T ) =πCFNkT

sin(πCFNkT )JFN(Fdiel, T = 0K), avec CFN =

4π√2mdielΦ0

hqFdiel

(5.3)

Il est possible de vérier expérimentalement que le courant est de type Fowler-Nordheimen traçant ln(JFN/Fdiel

2) en fonction de 1/Fdiel. La dépendance est linéaire, même à destempératures supérieures à 300 K [Pananakakis et al., 1995], et les valeurs de la hauteurde barrière et de la masse eective du porteur dans l'oxyde peuvent être extraites.

Lorsque L'épaisseur du diélectrique est inférieure à 4 nm, le transport est dominée parl'injection tunnel direct des porteurs. La barrière énergétique vue par les porteurs est dansce cas trapézoïdale. La théorie sur la conduction Fowler-Nordheim est applicable à l'eettunnel direct, à condition d'introduire un facteur correctif, lié à la barrière trapézoïdale,de la forme [Schuegraf et al., 1992] :

1[1−

√1− qedielFdiel

Φ0

]2 exp

BFN

(1− qedielFdiel

Φ0

)3/2

Fdiel

(5.4)

ediel étant l'épaisseur du diélectrique.

Métal SemiconducteurDiélectrique

−qVdielΦ0

EC

EV

EFM

−qV

(a)

Métal SemiconducteurDiélectrique

Φ0

−qVdiel

−qV

EFM

EC

EV

(b)

Figure 5.7 Diagrammes de bandes d'énergie d'une structure métal/isolant/se-miconducteur en accumulation, (a) dans le cas du courant Fowler-Nordheim, et(b) dans le cas du courant tunnel direct.

93

Page 114: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

A une température nulle, le courant tunnel direct JTD(Fdiel, T = 0K) peut s'exprimeralors de la façon suivante :

JTD(Fdiel, T = 0K) =AFNFdiel

2

[1−

√1− qedielFdiel

Φ0

]2 exp(−BFN

Fdiel

[Φ0

3/2 − (Φ0 − qedielFdiel)3/2]

)

(5.5)

Conduction limitée par charge d'espace

Cette conduction résulte du mouvement de porteurs injectés sous l'eet d'un champ élec-trique. Elle est généralement fonction de l'épaisseur du diélectrique. Considérons le casidéal d'un diélectrique exempt de pièges. Les électrons sont injectés à la cathode par unbon contact ohmique, et la diusion est négligée. A champ faible, les porteurs injectéssont faibles à l'intérieur du diélectrique. La densité de porteurs injectés est alors négli-geable devant la densité de porteurs intrinsèques n0. La densité de courant résultant dumouvement des porteurs est régie par la loi d'Ohm :

JOhm = qn0µE (5.6)

Cette relation ne reste valide que si les charges injectées ne modient pas le champ interne.Lorsque le champ électrique augmente, un changement de comportement s'opère, le champlocal est contrôlé par la charge d'espace. En résolvant le système d'équations constitué del'équation de Poisson, l'équation de continuité et la relation liant le champ à la tension, onarrive à une densité de courant limité par charge d'espace SCLC (Space Charge LimitedCurrent) de la forme [Mott et Gurnay, 1948] :

JSCLC =9

8µεrε0

V 2

L3(5.7)

µ, V , L et εr étant respectivement la mobilité des porteurs injectés, la tension appliquée,l'épaisseur du diélectrique et la constante diélectrique relative du matériau. Si l'on supposequ'il existe des sites susceptibles de piéger une partie des porteurs, l'équation 5.7 devient :

JSCLC = θ9

8µεrε0

V 2

L3(5.8)

où θ = n/nt, est la proportion de porteurs libres injectés par rapport aux porteurs piégés.

D'après les équations 5.6 et 5.8, on peut calculer la tension de transition Vtr entre laconduction ohmique et celle limitée par la charge d'espace :

Vtr =9

8qn0

L3

θεrε0(5.9)

94

Page 115: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Lorsque tous les sites ont piégé un porteur, et ne sont plus actifs, la caractéristique revientà celle d'un diélectrique sans pièges, donnée par l'équation 5.7. La tension VTFL (TFL pourTrap Filled Limit) à laquelle les porteurs ne sont plus piégés est donnée par :

VTFL = qNtL2

εrε0(5.10)

Figure 5.8 Caractéristique du courant limité parcharge d'espace en fonction de la tension, sur une échellebi-logarithmique [Segui, 2000].

Dans l'équation 5.8, les pièges sont supposés être au même niveau énergétique. Dans laréalité, les pièges peuvent être situés à des niveaux énergétiques diérents. Il faut alorsconsidérer une distribution énergétique des pièges, par exemple exponentielle [Rose, 1955].Le comportement général de la densité de courant se traduit par :

JSCLC =9

8µεrε0

V m+1

L2m+1(5.11)

où m est un nombre variant entre 1 et 3, qui dépend de la distribution des pièges.

Conduction Poole-Frenkel

La conduction Poole-Frenkel [Frenkel, 1938; Hill, 1971] est semblable à l'eet Schottky àl'interface métal/isolant, elle correspond à l'émission thermoïonique d'électrons d'un piègesitué dans le volume du diélectrique grâce à une énergie thermique. Cette émission estcausée par un champ électrique important qui abaisse la barrière du puits de potentiel.Avec plusieurs pièges dans le volume, les électrons sont successivement capturés puisrelâchés. Les barrières à franchir peuvent être bien moins hautes que la barrière Si/SiO2.Par conséquent, l'émission thermoïonique et la force image ne peuvent être négligées.L'expression de la densité de courant Poole-Frenkel JPF , dans le cas d'un isolant amorphe,s'écrit [Hesto, 1986] :

JPF (Fdiel, T ) = APFFdiel exp

(−Φi −BPF

√Fdiel

kT

), avec

APF = qNCµ(kT )2

BPF =

√q3

ε0εdielπ

(5.12)

95

Page 116: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

où Φi est la barrière de potentiel à un champ électrique nul, NC la densité d'états dansla bande de conduction dans l'isolant, µ la mobilité des électrons dans l'oxyde, αPF unparamètre qui donne la distance entre les pièges et, εdiel la constante diélectrique del'isolant.

Φi

BPF

√Ediel

Φm Figure 5.9 Diagramme de bandesreprésentant la conduction Poole-Frenkel d'un électron piégé dans unpuits de potentiel.

La courbe ln(JPF/Fdiel) en fonction de√

Fdiel donne une droite dans la cas d'une conduc-tion Poole-Frenkel. Le paramètre BPF peut permettre de remonter à la distance entredeux pièges [De Salvo et al., 1999, 2000a].

Conduction par sauts (Hopping)

Alors que le modèle Poole-Frenkel propose une conduction par eet thermoïonique entreles pièges, la conduction Hopping implique une déplacement par eet tunnel entre lespièges. Ces derniers doivent être très proches pour que la probabilité de passage soit nonnulle. L'expression de la densité de courant Hopping JH s'écrit [Hesto, 1986] :

JH(Fdiel, T ) =q2

kT

d2

τ0n?Fdiel exp

(−2m?

diel

~Φmd

)(5.13)

où 1/τ0 est la fréquence des transitions tunnel, et n? est la densité d'électrons dans lespièges.

Φm

Φi

Figure 5.10 Diagramme debandes représentant la conduc-tion par sauts d'un électron piégédans un puits de potentiel.

Il existe aussi une expression basée sur la loi de Mott, qui prend en compte les polarons, desquasi-particules électron-phonon, et une distribution aléatoire de pièges [Mott et Davis,1979] :

JH(T ) = J0 exp

(−(T0

T

)α)(5.14)

96

Page 117: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

où J0 et T0 sont des paramètres dépendants du matériau, et α dépend de la dimension dusystème : α = 1/2 pour un système 1D, α = 1/3 pour un système 2D, et α = 1/4 pourun système 3D. La courbe ln(J) en fonction de T−α permet de déterminer la valeur de α

et d'extraire les paramètres J0 et T0.

Eet tunnel assisté par pièges

Alors que la conduction tunnel direct ou Fowler-Nordheim est un processus qui se faiten une étape, l'eet tunnel assisté par pièges TAT (Trap Assisted Tunneling) se fait endeux étapes ou plus. Plusieurs auteurs ont développé un modèle qui donne le courantassocié à cette conduction à fort champ, c'est à dire avec une barrière triangulaire, et enconsidérant une barrière énergétique liée aux pièges supérieure à celle de la conductionFowler-Nordheim [Suzuki et al., 1986; Cheng et al., 1988; Fleischer et al., 1992]. Hounget al. ont proposé un modèle analytique simple et généralisé qui prend en compte aussila conguration de la barrière trapézoïdale, et la profondeur des pièges par rapport à labarrière associée à la conduction Fowler-Nordheim [Houng et al., 1999].

Figure 5.11 Diagrammes de bandesd'une structure Al/SiO2/Si polari-sée négativement, représentant laconduction assistée par pièges géné-ralisée. (a) Φt > Φb et (b) Φt < Φb.La barrière tunnel est soit triangu-laire (chemin A), soit trapézoïdale(chemin B), selon ce qui est vu parl'électron [Houng et al., 1999].

Lorsque le courant tunnel à travers la barrière triangulaire Jtri est plus élevé que celuià travers la barrière trapézoïdale Jtra, le courant assisté par pièges JTAT s'exprime de lafaçon suivante :

JTAT (Fdiel) ∝ exp

(−8π

√2qmox

3hFdiel

Φt3/2

)(5.15)

D'après cette équation, le niveau énergétique des pièges en-dessous de la bande de conduc-tion de l'oxyde Φt peut être calculé en traçant la courbe ln(J) en fonction de 1/Fdiel.

Détermination du champ électrique d'un diélectrique

Les modèles de conduction présentés dépendent du champ électrique Fdiel dans le di-électrique. Cependant l'évaluation du ce champ est une étape délicate. Une estimationgrossière consiste à considérer deux électrodes métalliques en négligeant le potentiel desurface du silicium ΨS et du poly-silicium ΨG. Lorsque l'épaisseur du diélectrique dimi-nue, et en présence de poly-désertion, ces potentiels de surface ne sont plus négligeables.

97

Page 118: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Le champ électrique dans le diélectrique est donnée par l'expression suivante :

Fdiel =VG − VFB −ΨS +ΨG

tdiel(5.16)

Le champ électrique sera évalué en utilisant l'outil de simulation Medici de la compagnieSynopsys [Synopsys, 2009], qui permet de résoudre les équations de Poisson, de continuitéet Bolzmann, ainsi que de simuler les courant Fowler-Nordheim et tunnel.

5.3.3 Caractérisation électrique des structures de grande surface

Description des dispositifs

L'étude de la conduction et du chargement a été menée sur des capacités de grande surface,fabriquées à partir des plaques C178P10, P13 et P16. Des contacts semi-transparentsCr/Au (10 nm/10 nm) ont été formés sur le polysilicium, grâce à la photolithographie etle soulèvement d'une bicouche LOR 5A/S1813. Ils ont ensuite servi de masques de gravureRIE an d'isoler les capacités. Trois tailles de contacts ont été utilisées : 200×200 µm2,100×100 µm2 et 50×50 µm2. La gure 5.12 donne une vue schématique des capacitésétudiées.

Cr/Au (10/10 nm)

Si type N

Poly-Si N+

SiOx

Figure 5.12 Vue schématique descapacités fabriquées à partir desplaques C178P10, P13 et P16.

Sur la face arrière des échantillons, le substrat est gratté et un alliage d'indium-gallium yest étalé an d'obtenir un bon contact ohmique. Les échantillons sont ensuite collés surun support mécanique avec la laque d'argent. Les pointes sont utilisées pour contacterélectriquement le dispositif : l'une est posée sur la face avant et l'autre sur la laqued'argent.

Les mesures I-V et C-V ont été eectuées avec un appareil Keithley SCS-4200 qui possèdedeux SMU (Source-Measure Unit) avec pré-amplicateurs et un module CVU (Capaci-tance Voltage Unit). Les mesures C-V on été eectuées de l'inversion vers l'accumulation.Une source de lumière blanche, xée sur le banc de mesures pour la pose des pointes, aété utilisée pour éclairer les capacités.

C178P10

La gure 5.13 montre les caractéristiques capacité-tension des capacités de 100×100 µm2,en fonction de l'éclairement et en fonction de la fréquence.

98

Page 119: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

-6 -4 -2 0 2 4 69p

10p

11p

12p

13p

14p

15p

16p

17p

18p

Cap

acita

nce

(F)

Tension (V)

osbcurité éclairement simulation Medici

f = 1 MHzrampe = 1.35 V/s

(a)

-6 -4 -2 0 2 4 69p

10p

11p

12p

13p

14p

15p

16p

17p

18p

Cap

acita

nce

(F)

Tension (V)

1 MHz 500 kHz 100 kHz 50 kHz

sous obscurité

(b)

Figure 5.13 Caractéristiques C-V des capacités de 100×100 µm2 fabriquées àpartir de C178P10, (a) sous obscurité et sous éclairement, (b) en fonction de lafréquence sous obscurité.

L'allure générale des courbes C-V est typique d'une capacité PMOS à haute fréquence. Iln'y a pas de signe de poly-déplétion en accumulation. D'après la gure 5.13a, la capacitédu diélectrique de la plaque C178P10 est de 17.2 pF. Si l'on suppose que l'oxyde non-st÷chiométrique s'est transformé complètement en oxyde de silicium après le recuit dedémixtion et d'oxydation, avec une constante diélectrique relative de 3.9, l'épaisseur dudiélectrique serait de 20 nm, ce qui est diérent de l'épaisseur de 16 nm mesurée à l'analyseTEM (cf. gure 5.1a). Si l'on considère que l'épaisseur du diélectrique est de 16 nm, laconstante diélectrique relative vaudrait alors 3.1 . Cette constante est plus faible que cellede l'oxyde thermique qui est de 3.9 , ou de celle d'un oxyde st÷chiométrique déposé parLPCVD. Par conséquent la couche de SiOx est moins dense que l'oxyde thermique. Ledépôt LPCVD du SiOx est probablement la cause de cette faible densité. Le recuit oxydantdevrait pourtant densier la couche mais il est probablement pas assez long. En éclairantles structures, on remarque une augmentation de la capacité en inversion. Ceci s'expliquepar la création de porteurs minoritaires dans la zone de déplétion grâce aux photons. Endiminuant la fréquence des mesures, la capacité en accumulation augmente légèrement,ce qui indique la présence d'états d'interface substrat/oxyde.

D'après la méthode de Maserjian [Maserjian et al., 1974; Clerc et al., 2000], nous avonsextrait le dopage du substrat et de la grille : NSi = 6× 1017 cm−3, NpolySi = 2× 1020 cm−3.En introduisant tous les paramètres extraits de la courbe C-V et en xant une densitéde charges xes d'interface de 3× 1011 cm−2 dans le simulateur Medici (cf. annexe B),nous obtenons une bonne corrélation entre la simulation et les valeurs expérimentales dela caractéristique C-V de la capacité.

En eectuant un aller-retour en tension, de l'inversion vers l'accumulation et ensuite del'accumulation à l'inversion, aucun décalage en tension n'est observable. Par conséquent,il n'y a pas de chargement dans le capacités provenant de la plaque C178P10.

99

Page 120: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

-6 -4 -2 0 2 4 69p

10p

11p

12p

13p

14p

15p

16p

17p

18p

Cap

acita

nce

(F)

Tension (V)

Aller Retour

f = 1 MHzrampe = 1.35 V/ssous obscurité

Figure 5.14 Caractéristique C-V de la plaque C178P10, avec un balayage aller-retour.

La caractéristique I-V des capacités est présentée à la gure 5.15. La courant varie avecla tension de façon quasi-linéaire en polarisation directe. En polarisation inverse, unerupture de pente se produit à −6V. La courbe ln(J/E2) vs 1/E de la gure 5.15b montreune variation linéaire à fort champ pour la polarisation inverse, ce qui correspond à uncourant Fowler-Nordheim. En introduisant les coecients AFN et BFN extraites de cettecourbe dans le simulateur (cf. annexe B), on obtient le courant Fowler-Nordheim simuléqui correspond bien à la réalité, comme le montre la gure 5.15a. La valeur de la barrièrepoly-si/SiOx calculée à partir du coecient AFN est de 1.9 eV, ce qui est inférieur à lavaleur théorique de 3.1 eV pour les électrons. Cette valeur expérimentale plus faible estpeut-être due soit à la présence de défauts dans le volume de l'oxyde non-st÷chiométrique,soit à une grand densité d'états de surface entre le polysilicium et l'oxyde, qui abaissent labarrière. Cependant nous n'avons pas pu mettre en évidence le régime Fowler-Nordheim enpolarisation directe. En eet, pour des tensions élevées (> 10 V), les structures subissent

-8 -6 -4 -2 0 2 4 6 810-15

10-14

10-13

10-12

10-11

10-10

10-9

10-8

10-7

10-6

Cou

rant

(A)

Tension (V)

obscurité éclairement simulation Medici

calibre auto

(a)

2.5x10-9 3.0x10-9 3.5x10-9 4.0x10-9-48

-46

-44

-42

-40

-38

-36

ln (J

/E2 ) (

A.V

-2)

1/Eox (m.V-1)

BFN = 1.245e10 V.m-1

AFN = 7.891e-4 A.V-2

(b)

Figure 5.15 (a) Caractéristique I-V à température ambiante des capacités de100×100 µm2 fabriquées à partir de C178P10. (b) Courbe Fowler-Nordheimln(J/E2) en fonction de 1/E en polarisation inverse.

100

Page 121: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

un claquage sans qu'on puisse observer une rupture de pente associée au Fowler-Nordheimcomme en polarisation inverse. Il est probable que la barrière substrat/SiOx soit beaucoupplus grande que la barrière poly-Si/SiOx.

La lumière a une inuence sur le courant en inverse, notamment sur le courant Fowler-Nordheim. En eet, la rupture de pente, à partir de laquelle la conduction Fowler-Nordheim commence, se produit à une tension légèrement plus faible lorsque la capacitéest éclairé. Pour mieux comprendre l'inuence de la lumière sur le courant, des mesuresI-t ont été eectuées à diérentes tensions xes, et la structure a été éclairée entre 30et 50 s . Les résultats sont montrés à la gure 5.16. Alors que la lumière n'a aucune in-uence en polarisation directe, elle augmente le courant (en valeur absolue) en inverse. Deplus, il apparaît deux pics de courant pour −4 , −6 et −7V : l'un quand on commencel'éclairement et l'autre lorsqu'on l'arrête. Ces pics sont des transitoires de courant dûs àla modication rapide des charges dans le substrat lorsqu'on débute l'éclairement et lors-qu'on le stoppe. A −8V les transitoires ne sont plus observables car le courant continuest élevé par rapport au courant transitoire. La gure 5.17 montre les caractéristiques I-Vdes structures à basse température. A 80K, le courant reste très faible en polarisationinverse et sous obscurité, le régime Fowler-Nordheim n'étant pas présent. Par contre enéclairant, la conduction Fowler-Nordheim apparaît. Toutes ses caractéristiques montrentque le courant d'électrons provenant de la grille dépend de la population de trous dansla couche d'inversion du substrat. En eet, il faut un certain nombre de trous dans lesubstrat pour accueillir les électrons lorsqu'on polarise en inverse. La lumière permet degénérer des trous supplémentaires et d'augmenter le courant d'électrons. A basse tempé-rature, la génération thermique de trous est limitée, il est donc nécessaire d'éclairer pourcréer des trous.

0 10 20 30 40 50 60 70-10n-8n-6n-4n

-100p

-80p

-60p

-40p

-20p

0

20p

Cou

rant

(A)

Temps (s)

V = 4 V V = -4 V V = -6 V V = -7 V V = -8 V

Eclairement

Figure 5.16 Courbes de courant en fonction du temps pour diérentes tensionsde grille en inverse, sous obscurité et sous éclairement.

101

Page 122: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

-8 -6 -4 -2 0 2 4 6 810-15

10-14

10-13

10-12

10-11

10-10

10-9

10-8

10-7

Cou

rant

(A)

Tension (V)

obscurité éclairement

T = 80 K

Figure 5.17 Caractéristique I-V à 80 K des capacités de 100×100 µm2 fabri-quées à partir de C178P10.

C178P13

-8 -6 -4 -2 0 2 4 6 89p

10p

11p

12p

13p

14p

15p

16p

Cap

acita

nce

(F)

Tension (V)

obscurité éclairement

f = 1 MHzrampe = 1.35 V/s

(a)

-8 -6 -4 -2 0 2 4 6 89p

10p

11p

12p

13p

14p

15p

16p

17pC

apac

itanc

e (F

)

Tension (V)

1 MHz 500 kHz 100 kHz 50 kHz

rampe = 0.19 V/ssous obscurité

(b)

Figure 5.18 Caractéristiques C-V des capacités de 100×100 µm2 fabriquées àpartir de C178P13, montrant un hystérésis, (a) sous obscurité et sous éclaire-ment, (b) en fonction de la fréquence sous obscurité.

La gure 5.18 montre les caractéristiques C-V pour la plaque C178P13, sous obscuritéet sous éclairement, et en fonction de la fréquence. En balayant la tension de l'inversionvers l'accumulation (de −8 à 8 V), puis de l'accumulation à l'inversion (de 8 à −8V),un hystérésis apparaît grâce à un chargement d'électrons et/ou de trous, contrairementau cas des capacités de C178P10. Comme l'analyse TEM indique la présence de nc-Sipour C178P13, il est possible que le chargement soit lié à leur présence. La lumière aaussi une inuence sur le décalage à gauche : en plus de l'augmentation de la capacité eninversion, le décalage augmente légèrement. Ce dernier est probablement dû à l'apport detrous photogénérés dans la zone d'inversion. La fréquence ne semble pas avoir d'inuencesur l'hystérésis. Cependant elle inue sur la capacité en accumulation, comme dans le casde C178P10, ce qui indique aussi la présence d'états d'interface. Nous remarquons aussi

102

Page 123: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

qu'il y a un changement de pente lors du retour du balayage en tension. Ceci indique laprésence de charges mobiles.

-8 -6 -4 -2 0 2 4 6 89p

10p

11p

12p

13p

14p

15p

16p E

Zone

2

f = 1 MHzsous obscurité

Cap

acita

nce

(F)

Tension (V)

de -4 à 1 V, 4.1 V/s de -8 à 8 V, 0.1 V/s

Zone

1

VFB

A

B CD

F

G

(a)

-8 -6 -4 -2 0 2 4 6 89p

10p

11p

12p

13p

14p

15p

16p

Cap

acita

nce

(F)

Tension (V)

0.048 V/s 0.19 V/s 1.35 V/s 3.45 V/s 4.1 V/s

f = 1 MHzsous obscurité

(b)

Figure 5.19 Caractéristiques C-V des capacités fabriquées à partir deC178P13, en fonction de la vitesse de rampe. (a) Courbe C-V référence (sanshystérésis) d'une capacité vierge, et courbe C-V avec un balayage aller-retourlent. (b) Courbes C-V des capacités en fonction de la vitesse de rampe.

La gure 5.19a permet de comparer une courbe référence, obtenue sur une structure viergeen balayant de −4 à 1 V, et pour laquelle l'hystérésis est nul, à une autre courbe obtenueavec un balayage aller-retour rapide entre −8 et 8 V. Le décalage à droite et à gauche parrapport à la courbe référence est clair. Le décalage à droite est toutefois moins importanteque celle à gauche. Par conséquent le chargement en trous est plus important que celuien électrons . Suivons la courbe avec l'hystérésis dans le sens du balayage en tension. Enzone 1, il y a de la conduction, les charges ne sont plus stockées et celles qui l'étaient sontdéchargées. A partir du point A, la conduction diminue et nous commençons à observerun chargement en trous, par injection depuis le substrat. Entre A et B, la courbe estdécalée à gauche par rapport à la courbe référence. Au point B, la tension appliquée estéquivalente à la tension des bandes plates (V ∼ −0.7V) et il n'y a plus de chargementsen trous. De B à C, les trous sont déchargés et les électrons sont peu à peu injectés depuisle substrat. On croise le prolongement de la courbe de référence en C. De C, la capacitéen accumulation augmente jusqu'au point D, et ensuite diminue pour rejoindre la zone 2.Au point D (V ∼ 4.2V), le champ électrique devient assez important pour que les chargessoient déstockées à cause de la conduction. Dans la zone 2, le courant de conduction estélevé, ce qui ne permet plus de stockage. Au retour, entre C et F, la courbe se décale versla droite par rapport à la courbe référence. A partir de F, c'est-à-dire lorsque V = VFB,les électrons commencent à quitter leur lieu de stockage. En G, la charge est nulle, etaprès G on devrait pouvoir charger en trous mais ce n'est pas évident sur la courbe. EnA, la charge est de toute façon nulle, la conduction importante indique que les quelquestrous éventuellement stockés doivent avoir quitté leur lieu de chargement.

103

Page 124: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

L'inuence de la vitesse de rampe sur l'hystérésis est présentée sur la gure 5.19b. Unerampe lente est favorable à un chargement de trous, sûrement en raison du substrat de typeN dont l'inversion peut être dicile à atteindre avec une rampe importante. Une ramperapide augmente légèrement le décalage à droite attribué au chargement en électrons, maismodie surtout la pente de ce décalage. Cette variation de pente ne s'observe pas dans ledécalage attribué aux trous. Elle semble liée au temps de rétention des charges dans lesnanocristaux de silicium. En eet, les électrons piégés dans les nanocristaux voient unebarrière d'oxyde moins importante que celle pour les trous. De plus cette barrière sembleêtre plus faible que la théorie, comme nous avons pu le voir dans le cas de C178P10.Ceci pourrait expliquer pourquoi le chargement en trous peut être plus important quecelui en électrons et pourquoi une rampe rapide montre un chargement en électrons plusimportant qu'une rampe lente.

La caractéristique I-V des capacités est présentée à la gure 5.20a. En polarisation directe,nous notons la présence d'un épaulement entre 4 et 8 V. Cette bosse n'est pas modiéepar la vitesse de rampe. L'origine de cette bosse a été étudié par De Salvo et al. [De Salvoet al., 2000b] et dans la thèse de Christophe Busseret [Busseret, 2001]. En accumulation,les électrons du substrat passent par les îlots, avant d'atteindre la grille. Il y a donc unprocessus tunnel direct du substrat vers les îlots, suivi d'un processus Fowler-Nordheimdes îlots vers la grille. Les nanocristaux de silicium servent ainsi de relais à la conduction àtravers la couche d'oxyde, à un champ électrique faible ou moyen. D'ailleurs, le début de laconduction à travers les îlots semble correspondre au début du déchargement en électronsobservé sur les caractéristiques C-V de la gure 5.19, au point D. Lorsque le champélectrique devient important, les électrons peuvent néanmoins être injectés directement dusubstrat vers la grille sans passer par les îlots. Des simulation qui intègrent les nanocristauxsont nécessaires pour déterminer si la conduction Fowler-Nordheim se fait essentiellement

-10 -8 -6 -4 -2 0 2 4 6 8 1010-14

10-13

10-12

10-11

10-10

10-9

10-8

10-7

10-6

obscurité éclairement

Cou

rant

(A)

Tension (V)

calibre auto

(a)

0 10 20 30 40 50 60 70 80 90 100

-8n

-7n

-6n-100p

0100p200p300p400p500p600p700p

Cou

rant

(A)

Temps (s)

V = 6 V V = -5 V V = -7 V V = -9 V

Eclairement

30 40 50-60p-40p-20p

020p

Cou

rant

(A)

Temps (s)

(b)

Figure 5.20 Caractéristique I-V ((a)) et I-t ((b)) à température ambiante descapacités de 100×100 µm2 fabriquées à partir de C178P13, sous obscurité etsous éclairement.

104

Page 125: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

entre la substrat et la grille ou entre les nanocristaux et la grille. En inverse, on retrouvel'épaulement, moins important, entre −6 et −8V, et la conduction Fowler-Nordheim àdes tensions inférieures à −8V. Cette dissymétrie des caractéristiques entre les tensionspositives et négatives peut s'expliquer par la position des nanocristaux dans l'oxyde. Eneet, d'après l'analyse TEM, les nanocristaux de silicium sont plus proches du substratque de la grille. Ainsi il est plus facile pour les électrons d'être injectés du substrat versles îlots (tensions positives) que de la grille.

Les courbes de courant en fonction du temps de la gure 5.20b montrent les mêmes ca-ractéristiques que celles observées pour C178P10 sous éclairement. Le courant d'électronsprovenant de la grille augmente sous éclairement car il y a plus de trous qui peuvent lesaccueillir dans le substrat. A basse température, le courant d'électrons est limité sous obs-curité, comme le montre la gure 5.21, car il y a très peu de trous générés thermiquement.Nous avons remarqué que la lumière a une inuence, faible mais présente, sur le couranten polarisation directe à basse température.

-10 -8 -6 -4 -2 0 2 4 6 8 1010-15

10-14

10-13

10-12

10-11

10-10

10-9

10-8

10-7

10-6

Cou

rant

(A)

Tension (V)

obscurité éclairement

T = 80 K

Figure 5.21 Caractéristique I-V à80 K des capacités de 100 ×100 µm2

fabriquées à partir de C178P13.

C178P16

-8 -6 -4 -2 0 2 4 6 88p

9p

10p

11p

12p

13p

14p

15p

16p

Cap

acita

nce

(F)

Tension (V)

obscurité éclairement

f = 1 MHzrampe = 1.35 V/s

Figure 5.22 Caractéristique C-V des capacités de 100 × 100 µm2 fabriquées àpartir de C178P16, montrant un hysteresis, sous obscurité et sous éclairement

La gure 5.22 montre la caractéristique C-V de capacités fabriquées à partir de la plaqueC178P16, sous obscurité et sous éclairement. L'hystérésis est plus important que celui

105

Page 126: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

observé sur les capacités de C178P13. L'analyse TEM indique que la densité de nc-Sidans C178P16 est plus grande que celle dans C178P16. Par conséquent la densité denanocristaux a une inuence claire sur l'hystérésis, ce qui conrme que le chargement estlié à la présence des îlots. L'éclairement des échantillons provoque un léger décalage versla gauche.

-8 -6 -4 -2 0 2 4 68p

9p

10p

11p

12p

13p

14p

15p

16p

Cap

acita

nce

(F)

Tension (V)

de -2 à 0 V, 2 V/s de -8 à 6 V, 4.1 V/s

f = 1 MHzsous obscurité

VFB

A

B

C

DE

G'

Zone

1

Zone

2F

(a)

-8 -6 -4 -2 0 2 4 68p

9p

10p

11p

12p

13p

14p

15p

16p f = 1 MHzsous obscurité

Cap

acita

nce

(F)

Tension (V)

0.045 V/s 0.19 V/s 1.35 V/s 4.1 V/s

(b)

Figure 5.23 Caractéristiques C-V des capacités fabriquées à partir deC178P16, en fonction de la vitesse de rampe. (a) Courbe C-V référence (sanshystérésis) d'une capacité vierge, et courbe C-V avec un balayage aller-retourrapide. (b) Courbes C-V des capacités en fonction de la vitesse de rampe.

Sur la gure 5.23a, on peut voir que le décalage à droite par rapport à la courbe référenceest faible comme dans cas de C178P13. Par contre le décalage à gauche est plus importantque dans le cas de P13, donc il y a plus de chargement en trous dans les capacités. Ceci estlié à un plus grande densité de nanocristaux dans P16, comme le conrme l'analyse TEM.Les bosses observées aux points B (−2.1V) et D (3.6V) sont aussi plus importantes quecelles sur la gure 5.19.

L'inuence de la vitesse de rampe est présentée à la gure 5.23b. Le décalage à droitevarie peu et sa pente est légèrement modiée avec la vitesse de rampe. Contrairement àP13, le décalage à gauche est plus important avec une rampe rapide, ce qui est inattenducar de la même manière que pour P13, la rapidité de la rampe rend l'inversion du substratdicile, comme l'atteste la diminution continue de la capacité lorsqu'on balaye la tensionvers les fortes polarisations négatives. Par contre la vitesse de rampe agit fortement sur labosse à la tension de bandes plates (V ∼ −2.1V). Lorsque la rampe est rapide, les trousn'ont pas le temps de se décharger complètement, donc la valeur de la capacité est élevée.Lorsque la rampe est lente, le déchargement est plus important et la capacité diminue[Ichikawa et al., 2005]. Le plateau que l'on observe entre les deux bosses dépend ausside la vitesse de rampe : la capacité de ce plateau est plus élevée à vitesse rapide. Ceciest probablement dû à des trous qui ne sont pas complètement déchargés avec une ramperapide. Concernant la deuxième bosse située à 3.6 V, une vitesse de rampe rapide diminuelégèrement la capacité sur sa partie gauche.

106

Page 127: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

-10 -8 -6 -4 -2 0 2 4 6 8 1010-13

10-12

10-11

10-10

10-9

10-8

10-7

10-6

10-5

Cou

rant

(A)

Tension (V)

obscurité éclairement

calibre auto

(a)

0 10 20 30 40 50 60 70 80 90 100-20n-15n-10n-5n

-50p

0

50p

100p

150p

200p

Cou

rant

(A)

Temps (s)

V = 2 V V = 4 V V = -4 V V = -6 V V = -8 V

Eclairement

30 40 50-4p-3p-2p-1p0

1p

Cou

rant

(A)

Temps (s)

(b)

Figure 5.24 Caractéristique I-V (a) et I-t (b) à température ambiante descapacités de 100×100 µm2 fabriquées à partir de C178P16, sous obscurité etsous éclairement.

La caractéristique I-V des capacités est présentée à la gure 5.24a. En polarisation directe,nous notons la présence d'un épaulement, entre 4 et 6 V, qui est moins large que celuiobservé sur les caractéristiques I-V de P13. Ceci est probablement dû à une dispersionen taille des nanocristaux moins importante dans le cas de P16. En polarisation inverse,sous obscurité, un saut de courant se produit vers −9V. Sous éclairement, le courant estplus élevé et on retrouve un épaulement entre −5 et −7V et le régime Fowler-Nordheimà fort champ. D'après ces observations, le saut de courant sous obscurité est dû à laformation soudaine de la couche d'inversion dans le substrat à fort champ. Les courbesde courant en fonction du temps ont le même comportement sous éclairement que cellesobtenues sur P10 et P13. A basse température, le courant est limité sans éclairement enpolarisation inverse. A l'instar de P13, nous avons remarqué que la lumière blanche a unefaible inuence sur le courant en polarisation directe et à basse température.

Conclusion sur la caractérisation électrique des structures de grande surface avecle SiOx

Sur les structures fabriquées à partir de la plaque C178P10, nous n'observons pas dechargement car elles sont dépourvues de nanocristaux dans la matrice d'oxyde. Par contreles caractéristiques C-V de P13 et P16 montrent clairement un hystérésis lié au chargementdes nanocristaux de silicium. Le chargement de trous est toutefois plus important que celuides électrons, lié à la diérence de hauteur de barrière entre les électrons et les trous. Lavariation de la vitesse de rampe sur les caractéristiques C-V permet de mettre en évidenceque les électrons sont mobiles et ne restent pas connés dans les nanocristaux. De plus, lechargement de trous sur P16 est plus important que celui sur P13, en raison d'une densitéet d'une taille plus grande de nanocristaux. Cette densité de nanocristaux agit aussi surla hauteur de la bosse présente en accumulation. L'origine de cette bosse n'est pas claire.Il se peut qu'elle soit liée au chargement et au déchargement des nanocristaux avec des

107

Page 128: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

électrons. Christophe Busseret observe une augmentation de la capacité lorsque les îlotssont chargés avec des électrons ou des trous [Busseret et al., 2000]. Il propose un modèleen considérant que les îlots chargés possèdent des porteurs libres et qu'ils se comportentnon plus comme un diélectrique, mais comme un métal. Ainsi la capacité totale de lastructure ne correspond plus qu'à la capacité lié à l'oxyde.

Le courant qui traverse les structures est assuré par des mécanismes de type tunnel directet Fowler-Nordheim. Le courant tunnel se produit grâce aux nanocristaux de siliciumqui servent de relais aux charges. Ceci se traduit par l'apparition d'un épaulement avantle régime Fowler-Nordheim sur les caractéristiques I-V des structures. Le courant esttoutefois plus élevé sur P16 que celui sur P13. Une densité et une taille plus grande denanocristaux sont sûrement à l'origine de cette diérence.

5.3.4 Caractérisation électrique des nanopiliers

Nous avons étudié le transport à travers des nanopiliers fabriqués à partir de la plaqueC178P13. La fabrication des nanopiliers suit les étapes décrites dans le chapitre 4 : dé-nition des structures par lithographie par faisceau d'électron, gravure plasma, isolationdes nanostructures avec la résine SU-8, dénition et dépôt des contacts électriques. Lesdiamètres des nanostructures sont de 2µm, 500, 200 et 100 nm. Nous avons utilisé deuxtypes de contact électrique : un contact épais composé de 50 nm de chrome et de 200 nm

d'or, et un contact avec une ouverture centrale, présenté à la gure 4.19.

Si type N

Au (200 nm)

Cr (50 nm)

SU−8 SU−8

Figure 5.25 Vue schématique d'unnanoplot isolé par la SU-8 et avec uncontact électrique épais.

La gure 5.26 présente les caractéristiques courant-tension de quelques nanopiliers de dif-férents diamètres avec des contacts électriques épais. Pour des tensions supérieures à 8V,on retrouve le régime Fowler-Nordheim. Le courant Fowler-Nordheim varie peu d'un plotà autre pour les diamètres de 2µm et de 500 nm. Par contre la variation peut être impor-tante pour les plots de 200 et 100 nm de diamètre, probablement à cause d'une variationde diamètre ou de la présence de nanopiliers formés involontairement par micromasquagelors de la gravure RIE. Pour certains piliers de 2µm de diamètre, on retrouve la présenced'un épaulement entre 4 et 8V, aussi présent dans les caractéristiques des structures degrande surface. Il correspond à la conduction des électrons à travers l'oxyde via les nano-cristaux de silicium. Pour d'autres, un pic de courant apparaît entre 4 et 5.5V. Pour les

108

Page 129: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

0 2 4 6 8 10 1210-14

10-13

10-12

10-11

10-10

10-9

10-8

Cou

rant

(A)

Tension (V)

(a) 2µm

0 2 4 6 8 10 1210-15

10-14

10-13

10-12

10-11

10-10

10-9

Cou

rant

(A)

Tension (V)

(b) 500 nm

0 2 4 6 8 10 1210-15

10-14

10-13

10-12

10-11

10-10

Cou

rant

(A)

Tension (V)

(c) 200 nm

0 2 4 6 8 10 1210-14

10-13

10-12

10-11

10-10

10-9

Cou

rant

(A)

Tension (V)

(d) 100 nm

Figure 5.26 Caractéristiques I-V de quelques nanopiliers de diérents dia-mètres fabriquées à partir de C178P13, avec des contacts électriques épais enpolarisation directe.

structures de diamètre inférieur, l'épaulement n'est plus visible, mais une succession depics moins larges de courant est observable, et le nombre de pics semble augmenter lorsquele diamètre diminue. Ce changement de comportement avec le diamètre des structures estclairement lié au nombre de nanocristaux dans ces structures. Alors que l'épaulement re-présente la conduction via un grand nombre de nanocristaux avec une certaine dispersionen taille [Busseret, 2001], les pics de courant se produisent pour un faible nombre d'îlots.Ils sont peut-être dus à de la résonance tunnel. Pour le vérier, des mesures à diérentesvitesses de rampe sont nécessaires [Beaumont et Soui, 2009]. Cependant en accumulantles mesures sur les mêmes structures, nous avons remarqué que les pics disparaissent pourlaisser place à un épaulement, qui diminue jusqu'à disparaître lui aussi, comme le montrela gure 5.27. Il semble que les nanocristaux de silicium se chargent et ne peuvent plusservir de relais à la conduction à travers l'oxyde. Cette état semble être irréversible malgrédes conditionnements à haute température. Il est par conséquent dicile de déterminersi le chargement ou la résonance tunnel est responsable des pics de courant.

109

Page 130: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

0 2 4 6 8 10 1210-15

10-14

10-13

10-12

10-11

10-10

10-9

10-8

Cou

rant

(A)

Tension (V)

1ère mesure 2ème mesure 3ème mesure 4ème mesure

(a) 2µm

0 2 4 6 8 10 1210-15

10-14

10-13

10-12

10-11

10-10

10-9

Cou

rant

(A)

Tension (V)

1ère mesure 2ème mesure 3ème mesure 4ème mesure

(b) 200 nm

Figure 5.27 Mesures successives des caractéristiques I-V pour deux nanopiliers,l'un de 2 µm, et l'autre de 200 nm de diamètre.

Concernant les structures possédant des contacts avec une ouverture centrale, le courantmesuré varie peu et reste en dessous du picoampère. Ce courant correspond au courantde fuite à travers la résine SU-8. Ceci indique qu'il y a un problème de contact entrel'électrode métallique et le sommet des plots. Un dépôt plus épais pourrait résoudre ceproblème mais au détriment de la transparence du contact.

5.4 Spectroscopie de photocourant des structures avecSiOx

5.4.1 Principe et montageLa spectroscopie de photocourant est une technique de caractérisation qui fournit desinformations très intéressantes à propos des états électroniques et du spectre d'absorptiondu matériau analysé. Le principe de cette technique est basé sur la génération de porteursprovoquée par l'absorption de photons avec une énergie égale ou supérieure au gap dumatériau analysé. An de pouvoir séparer et récupérer les charges photogénérées, il fautappliquer un champ électrique dans le matériau grâce à des électrodes. En faisant varierla longueur d'onde des photons, il est ainsi possible d'obtenir un spectre de photocourant.

Un schéma du banc de mesure de photocourant est donné à la gure 5.28. Une lampehalogène associée à un monochromateur permet d'éclairer un échantillon avec une seulelongueur d'onde prélevée sur un spectre allant du bleu au proche infra-rouge. Le systèmede caractérisation SCS-4200 de Keithley permet de polariser les structures et de mesurerle courant en fonction du temps et de le rapporter à la variation de la longueur au coursde la mesure.

110

Page 131: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

cryostat

monochromateurlampe

Keithley 4200−SCS

lentille

fibre optique

Figure 5.28 Schéma du banc de caractérisation en photocourant.

111

Page 132: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

5.4.2 Résultats et discussion

L'étude du photocourant a été faite sur des capacités MIS de 100×100 µm2 fabriquées àpartir de C178P10, P13 et P16. Elles possèdent un contact d'ITO de 200 nm d'épaisseur,pour être certain de laisser passer tous les photons dans le visible. La gure 5.29 présenteles spectres de photocourant de ces capacités en fonction de diérentes tensions en inverse,à température ambiante. En polarisation directe, aucun photocourant n'a été observé.

1.0 1.5 2.0 2.5 3.00

2p

4p

6p

8p

10p

12p

Pho

toco

uran

t (A

)

Énergie (eV)

V = -4 V V = -6 V V = -7 V

(a) C178P10

1.0 1.5 2.0 2.5 3.00

2p

4p

6p

8p

10p

12p

14p

x 1/15

Pho

toco

uran

t (A

)Énergie (eV)

V = -5 V V = -6 V V = -7 V V = -8 V

x 1/15

(b) C178P13

1.0 1.5 2.0 2.5 3.00

50p

100p

150p

200p

250p

300p

350p

400p

450p

Pho

toco

uran

t

Énergie (eV)

V = -6 V V = -7 V V = -8 V V = -9 V

(c) C178P16

Figure 5.29 Spectres de photocourant des capacités 100×100 µm2, en fonctionde diérentes tensions en polarisation inverse, à température ambiante.

Alors que le courant augmente avec la tension pour P10 et P13, le courant varie trèspeu entre −7 et −9V pour P16. Le photocourant est de faible intensité pour P10, parcontre nous obtenons une intensité de signal plus élevé pour P13 et P16. Ceci pourrait êtreattribué à la présence des nanocristaux. Nous avons normalisé les spectres par rapportau pic d'environ 1.75 eV et les avons comparés avec la puissance optique normalisée dusystème (source + lentille + monochromateur + bre optique + couvercle du cryostat),comme le montre la gure 5.30. Nous constatons que les variations de photocourant enfonction de l'énergie correspondent plus ou moins aux variations de la puissance optiquede notre système. De plus nous n'observons pas de pics qui pourraient provenir de la

112

Page 133: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

diérence de taille, donc de bande interdite, des nanocristaux de silicium. En eet, laposition énergique de ceux-ci se retrouvent à la même position de ceux du système.

1.0 1.5 2.0 2.5 3.00.0

0.2

0.4

0.6

0.8

1.0P

hoto

cour

ant o

u pu

issa

nce

norm

alis

é

Énergie (eV)

P10 à -7 V P13 à -8 V P16 à -9 V Puissance optique

Figure 5.30 Spectres de photocou-rant normalisés et puissance optiquedu système normalisée.

La gure 5.31 présente la dépendance du photocourant en fonction de la puissance optiquereçue sur les structures, à une énergie de 1.75 eV, pour diérentes polarisations. Pour P10et P13, le courant varie peu avec la puissance quelque soit la polarisation. Il semble qu'il

100p 1n100f

1p

10p

100p

1n

10n

V = -8 V V = -7 V

Pho

toco

uran

t (A

)

Puissance (W)

E = 1.75 eV

(a) C178P10

100p 1n1p

10p

100p

1n

10n V = -9 V V = -8 V V = -7 V V = -6 V

Pho

toco

uran

t (A

)

Puissance (W)

E = 1.75 eV

(b) C178P13

0.0 500.0p 1.0n 1.5n0

50p

100p

150p

200p

250p

300p

350p

Pho

toco

uran

t (A

)

Puissance (W)

V = -9 V V = -8 V V = -7 V V = -6 V

E = 1.75 eV

(c) C178P16

Figure 5.31 Photocourant des capacités 100×100 µm2, en fonction de dié-rentes tensions en polarisation inverse, à température ambiante.

113

Page 134: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

y ait une saturation de courant. Par contre, pour P16, nous observons une tension seuil,inférieure à −6V, à partir de laquelle la dépendance du courant avec la puissance estquasi-linéaire. En dessous de cette tension seuil, nous remarquons aussi que le niveau decourant varie peu avec la polarisation à puissance xe. La saturation observée sur P13est peut-être due au faible nombre de nanocristaux qui limite la génération de pairesélectron-trous.

L'eet de la température est présenté à la gure 5.32 pour les structures fabriquées àpartir de P13 et P16. Sur P13, le niveau de photocourant courant est plus élevé à bassetempérature alors que sur P16, c'est l'inverse qui se produit. Il est possible que l'oxyde deP16 contienne plus de centres de recombinaisons radiatives qui limitent l'intensité du pho-tocourant. Cependant aucun décalage en énergie n'est observable sur les courbes, commeon pourrait s'y attendre si les pics de photocourant étaient liés au gap des nanocristaux desilicium. Ceci s'explique par la réponse du système qui est dominante. Nous avons parlédans la section 5.3.3 de l'augmentation du courant à basse température et en polarisationdirecte, lorsque les structures sont éclairées sous lumière blanche. En raison de la faiblepuissance optique du système, le photocourant à basse température et en polarisationdirecte n'est pas mesurable.

1.0 1.5 2.0 2.5 3.020p

40p

60p

80p

Pho

toco

uran

t (A

)

Énergie (eV)

80 K 300 K

V = -7 V

(a) C178P13

1.0 1.5 2.0 2.5 3.00

50p

100p

150p

200p

250p

300p

350pV = -7 V

Pho

toco

uran

t (A

)

Énergie (eV)

80 K 300 K

(b) C178P16

Figure 5.32 Spectres de photocourant des capacités 100×100 µm2, à 80 et 300K.

D'après ces résultats, il est impossible de conclure sur le seuil d'absorption des nanocris-taux de silicium. Nous pensons que la variation de courant est similaire à la variation depuissance d'excitation en fonction de la longueur d'onde. Ceci s'explique par le fait quela majorité de l'intensité lumineuse est absorbé dans le substrat de silicium. La variationde puissance en longueur d'onde fait varier la population de trous dans le substrat et parconséquent le courant d'électrons provenant de la grille. Dans notre système, la puissanceoptique reçue par les contacts est faible, car la bre optique se trouve à l'extérieur ducryostat et il est impossible de focaliser la lumière sur un contact précisément. Il est né-

114

Page 135: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

cessaire d'optimiser le système, en intégrant par exemple une bre optique xée sur unbras mécanique à l'intérieur du cryostat.

5.5 Conclusion

Ce chapitre a été dédié à la caractérisation structurale, optique et électrique de structurescontenant des nanocristaux de silicium, obtenus par précipitation dans une couche d'oxydenon-stoechiométrique. Pour l'échantillon avec la couche SiOx dont l'épaisseur nominalevisée était de 5 nm (plaque P10), nous n'avons pas pu observer en TEM la présence denanocristaux de silicium. Cette plaque permet de conclure qu'en deçà d'un certain vo-lume de silicium en excès, ce dernier est entièrement consommé par le procédé de recuitoxydant. Après recuit, les observations TEM permettent de mesurer une épaisseur nalede SiO2 d'environ 16 nm. Pour la suite de l'étude, cette plaque a servi de référence (sansnanocristaux) pour une meilleure interprétation des propriétés électriques et optiques desnanocristaux. Pour les échantillons dont les couches SiOx ont des épaisseurs nominalesvisées de 10 et 15 nm (plaques P13 et P16 respectivement), les analyses TEM conrmentla présence d'un plan de nanocristaux de silicium à environ 5 nm du substrat de silicium.Dans ce cas, le recuit oxydant n'a pas permis de consommer tout le volume de siliciumen excès qui avait précipité pour former les nanocristaux. Les mesures TEM en hauterésolution montrent également que le diamètre moyen des nanocristaux est d'autant plusgrand que le volume initial de silicium en excès est important. Finalement, nous disposonsde deux types d'échantillons avec des diamètres moyens de nanocristaux de 3.5 nm et de 6nm pour les plaques P13 et P16 respectivement. Notons que pour ces diamètres respectifsde nanocristaux, les énergies de bandes interdites devraient se situer respectivement auxalentours de 1.8 eV et 1.5 eV. Au-delà des diérences attendues liées aux gaps diérents,pour les analyses électriques et optiques, les deux structures ne sont pas non plus directe-ment comparables en termes d'architecture puisque les épaisseurs totales mesurées pourl'empilement des couches sont respectivement de 18 nm et 23 nm.

L'analyse des propriétés optiques des couches par cathodoluminescence ne nous a pas per-mis d'accéder aux propriétés électroniques des nanocristaux de silicium. En eet, l'analysespectroscopique faite avec un échantillon de référence SiO2 thermique sur substrat de sili-cium montre que le signal entre 2 et 3 eV est lié aux défauts dans l'oxyde. Un épaulementassez large sur les plaques P13 et P16 semble toutefois extrinsèque à la couche d'oxydecar il n'est ni visible sur la référence SiO2, ni sur la plaque P10. Le domaine spectral audessus de 3 eV pourrait éventuellement être la signature du gap direct des nanocristaux.Cette hypothèse semble être étayée par le fait que ce signal est décalé vers les plus hautesénergies pour la plaque P13 qui présente les nanocristaux de plus petites tailles (3.5 nm).En imagerie de cathodoluminescence, le signal dominant reste néanmoins celui des défautsde la matrice d'oxyde. Ces défauts sont liés à la qualité initiale des couches, mais nous

115

Page 136: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

avons également pu constater que nos conditions d'analyse pouvaient également conduireà la création de nouveaux défauts à cause du faisceau d'électrons.

Les caractéristiques C-V nous ont permis de vérier que la plaque P10 ne contient pasde nanocristaux de silicium comme cela avait été révélé par les analyses TEM. En eet,aucune hystérésis permettant d'attester d'un quelconque piégeage de charge n'a pu êtremise en évidence malgré l'application de cycles à VG positifs et négatifs d'assez fortesamplitudes. En revanche, pour les plaques P13 et P16, les hystérésis des courbes C-Vmontrent clairement les chargements en trous et en électrons dans les nanocristaux desilicium. Bien que les analyses quantitatives sur les deux échantillons ne puissent pasêtre directement comparées du fait des diérences d'épaisseurs de couches, nous avonsmontré qualitativement que l'hystérésis était plus importante dans les échantillons P16qui présentent des nanocristaux de plus grande taille et en plus grande densité. Ce résultatest en accord avec le fait que les gros nanocristaux peuvent piéger plus de charges. Lesétudes par rapport à une caractéristique C-V de référence (sans hystérésis) ont égalementpermis de mettre en évidence que le chargement en trous est plus important que celuien électrons. Ce résultat a été interprété par la plus grande hauteur de barrière vue parles trous qui permet par la suite une meilleure rétention des trous que des électrons. Lavariation de la vitesse de rampe a permis de montrer que les électrons ne restent pasconnés dans les îlots.

Les études I-V ont permis de montrer que le courant qui traverse les structures P10 (sansnanocristaux) est essentiellement assuré par des mécanismes Fowler-Nordheim. Il a étépossible de montrer qu'en polarisation négative, il était nécessaire de former une couched'inversion (trous) pour observer du courant. Ceci est réalisé soit en éclairant les structures(à basse température), soit en élevant la température. Pour les structures P13 avec desnanocristaux de 3.5 nm, nous observons clairement deux composantes de courant pour unetension de grille positive : un courant tunnel direct et un courant Fowler-Nordheim. Cecourant est expliqué par la présence des nanocristaux de silicium qui servent de relais auxélectrons, qui sont ensuite injectés par eet Fowler-Nordheim vers la grille en polysiliciumN+. Nous avons vérié que la composante tunnel est moins importante en polarisationnégative, car dans ce cas, les électrons sont injectés depuis la couche de polysilicium N+

vers le substrat. La distance entre cette couche et les nanocristaux est trop importantepour permettre une bonne injection par eet tunnel direct. Pour les structures P16, ontrouve les mêmes composantes tunnel qui mettent en évidence le rôle des nanocristauxdans le transport. Toutefois, les courants relativement élevés dans ces structures laissentpenser que d'autres mécanismes sont à l'origine du transport. La présence de nanocristauxde plus grande taille et en plus grande densité peut expliquer ces diérences avec la plaqueP13.

La caractérisation I-V de nanopiliers de diamètres variant entre 100 nm et 2µm fabriquésà partir de la plaque P13 a donné des résultats tout à fait originaux. Pour ces nanopiliers,

116

Page 137: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

l'épaulement attribué au courant tunnel direct est observé seulement pour les diamètresde 2µm. En deçà, cet épaulement est remplacé par une succession de pics de courant quilaissent penser que le transport s'eectue à travers un nombre discret de nanocristaux.Nous avons pu remarquer que ces pics de courants disparaissent peu à peu en accumulantles mesures. Il nous a été impossible d'analyser en profondeur l'origine de ces pics, car iln'a pas été possible de retrouver l'état initial des courbes I-V de ces structures.

L'étude en photocourant des structures a été réalisée dans la gamme 1 à 3 eV avec unsystème adapté sur un banc cryogénique sous pointes. Cette étude a permis de mettre enévidence que l'absorption avait principalement lieu à l'interface substrat/SiO2. Le signalde photocourant mesuré est donc a priori largement dominé par le substrat Si. L'ana-lyse spectrale n'a pas été possible à cause du spectre d'émission du système utilisée etprobablement de phénomènes d'interférences. L'ensemble du système d'excitation optiquegénère en eet des pics intrinsèques au banc de mesure exactement dans la gamme spec-trale où nous attendions la réponse des nanocristaux (1.5 eV et 1.8 eV) pour les diamètresdes plaques P13 et P16. La comparaison de spectres des diérents échantillons démontrenéanmoins une intensité du signal plus élevée dans P13 et P16 qui pourrait être attribuéeaux nanocristaux. Une optimisation du banc de photocourant est en cours an d'améliorerl'excitation des nanocristaux.

117

Page 138: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

118

Page 139: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

CHAPITRE 6Conclusion générale et perspectives

Ce travail de thèse a été consacré à la fabrication de nanopixels contenant des nanocristauxdans une matrice d'oxyde, obtenus par précipitation de silicium, et à l'étude des propriétésopto-électriques de ces dispositifs. Le but de cette étude a été de démontrer l'intérêtd'utiliser l'absorption optique dans les nanocristaux de silicium pour la réalisation dephotodétecteurs ultra-sensibles dans le domaine du visible. Il s'agit plus particulièrementd'utiliser l'absorption dans des nanopixels possédant un nombre réduit de nanocristauxpour la détection de quelques photons.

Dans le chapitre 2, nous avons rappelé les eets de la réduction des dimensions sur lastructure électronique du silicium. Grâce au connement quantique, il se produit un élar-gissement du gap dans les nanocristaux de silicium. Cette variation de gap correspond àun décalage de l'infrarouge vers le visible lorsque l'on s'intéresse aux phénomènes d'ab-sorption ou d'émission dans le matériau. De plus, le connement quantique dans les semi-conducteurs permet d'augmenter le palier de Coulomb des dispositifs mono-électroniques.Par conséquent les dispositifs semiconducteurs fonctionnant sur le principe du blocage deCoulomb présentent l'avantage de pouvoir fonctionner à plus haute température que lesdispositifs métalliques. Ainsi, la détection de quelques photons devrait être possible enutilisant des nanostructures de silicium dans les dispositifs mono-électroniques.

Il existe une multitude de façons de fabriquer des nanocristaux de silicium comme nousavons pu le voir dans le chapitre 3. Cependant, parmi toutes les techniques, seules quelques-unes sont compatibles avec les procédés actuels de l'industrie du silicium. Dans ce chapitre,nous avons aussi discuté des techniques de micro et nano-fabrication an de réaliser lesnanostructures contenant des nanocristaux de silicium. La lithographie par faisceau d'élec-trons et la gravure plasma nous sont apparues les mieux adaptées pour former ce type destructure. Il apparaît également que l'isolation électrique des dispositifs est un élémentclef qui nécessite l'utilisation de procédés à faibles budgets thermiques. L'oxydation ther-mique n'est de ce fait pas bien adaptée car des eets importants sur les épaisseurs de lamatrice d'oxyde et sur la taille des nanocristaux sont observés. Les nanocristaux peuventparfois être complètement consommés par ce procédé. L'utilisation d'un polymère diélec-trique pour l'isolation électrique des dispositifs a donc été considérée comme une solutionintéressante car elle est simple à mettre en ÷uvre tout en présentant un très faible budgetthermique.

Le procédé de fabrication et d'isolation des nanopixels avec des nanocristaux de siliciuma été présenté dans le chapitre 4. Les nanocristaux de silicium ont été obtenus par préci-pitation d'un oxyde non-st÷chiométrique, déposé par LPCVD et ayant subi un recuit de

119

Page 140: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

démixtion et d'oxydation. A partir de plaques fabriquées au CEA-LETI, sur lesquelles ontété déposées les couches contenant les nanocristaux et une grille en polysilicium dopé N+,nous avons pu fabriquer des nanopiliers grâce à la lithographie par faisceau d'électronset la gravure plasma. Le procédé développé a permis de réaliser des plots d'un diamètreminimal de l'ordre de 60 nm. Toutefois, pour les mesures électriques, les dispositifs réalisésavec des contacts métalliques ont des diamètres variant de 2µm à 100 nm. La résine époxySU-8 a permis d'isoler électriquement les nanopiliers. Cependant, nous avons observé qu'ilétait dicile de graver uniformément la résine pour accéder au sommet des nanopiliers.La diculté d'obtenir de bons contacts électriques est expliquée principalement par laprésence de résidus de résine qui peuvent rester au sommet des piliers après la gravure.Un autre aspect lié à la gravure plasma oxygène d'une couche épaisse de résine SU-8 est larugosité de surface relativement importante. Malgré ces dicultés d'homogénéités et deprises de contacts électriques, le procédé d'isolation par la résine SU-8 s'est avéré tout demême intéressant car nous avons pu l'adapter à d'autres types d'échantillons contenantdes nanocristaux de germanium ou d'arséniure d'indium. Dans ces deux derniers typesd'échantillons ne contenant pas de grilles en polysilicium, nous avons pu montrer qu'ilétait possible d'utiliser des couches sacricielles de silicium amorphe.

Les couches réalisées ont été caractérisées par microscopie électronique. Nous avons pumontrer que, pour des couches SiOx avec une épaisseur nominale de 5 nm, le recuit oxydantde 5 minutes consomme tout le silicium en excès. Ces couches ont pu être utilisées commeréférences sans nanocristaux pour notre étude. Pour les échantillons dont les couches SiOx

ont des épaisseurs nominales visées de 10 nm et 15 nm, les analyses TEM conrment laprésence d'un plan de nanocristaux de silicium à environ 5 nm du substrat de silicium.Dans ce cas, le recuit oxydant n'a pas permis de consommer tout le volume de siliciumen excès qui avait précipité pour former les nanocristaux. Les mesures TEM en hauterésolution montrent également que le diamètre moyen des nanocristaux est d'autant plusgrand que le volume initial de silicium en excès est important.

L'analyse des propriétés optiques des couches par cathodoluminescence ne nous a pas per-mis d'accéder aux propriétés électroniques des nanocristaux de silicium. En eet, l'analysespectroscopique faite avec un échantillon de référence SiO2 thermique sur substrat de sili-cium montre que le signal entre 2 et 3 eV est lié aux défauts dans l'oxyde. Un épaulementassez large sur nos échantillons contenant des nanocristaux de diamètres moyens 3.5 nm

et 6 nm pourrait cependant être lié au gap direct des nanocristaux car le domaine spectrals'étend au dessus de 3 eV et que ce signal n'est pas obtenu sur un oxyde SiO2 ther-mique. Cette hypothèse semble être étayée par le fait que ce signal est décalé vers lesplus hautes énergies pour les nanocristaux de plus petites tailles (3.5 nm). En imagerie decathodoluminescence, le signal dominant reste néanmoins celui des défauts de la matriced'oxyde. Ces défauts sont liés à la qualité initiale des couches, mais nous avons égalementpu constater que nos conditions d'analyse pouvaient également conduire à la création denouveaux défauts à cause du faisceau d'électrons.

120

Page 141: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Les caractéristiques C-V nous ont permis de vérier que les échantillons contenant desnanocristaux montrent clairement les chargements en trous et en électrons. Bien que lesanalyses quantitatives sur les échantillons avec des diamètres de nanocristaux de 3.5 nm

et 6 nm ne puissent pas être directement comparées du fait des diérences d'épaisseurs decouches, nous avons montré qualitativement que la quantité de charges piégées était plusimportante pour les plus gros diamètres.

Les études I-V ont permis de montrer que le courant qui traverse les structures de référencesans nanocristaux est essentiellement assuré par des mécanismes Fowler-Nordheim. Il aété possible de montrer qu'en polarisation négative, il était nécessaire de former unecouche d'inversion (trous) pour observer du courant. Ceci est réalisé soit en éclairant lesstructures (à basse température), soit en élevant la température. Pour les structures avecdes nanocristaux de 3.5 nm, nous observons clairement deux composantes de courant pourune tension de grille positive : un courant tunnel direct et un courant Fowler-Nordheim. Cecourant est expliqué par la présence des nanocristaux de silicium qui servent de relais auxélectrons, qui sont ensuite injectés par eet Fowler-Nordheim vers la grille en polysiliciumN+. Nous avons vérié que la composante tunnel est moins importante en polarisationnégative, car dans ce cas, les électrons sont injectés depuis la couche de polysilicium N+

vers le substrat. La distance entre cette couche et les nanocristaux est trop importantepour permettre une bonne injection par eet tunnel direct.

La caractérisation I-V de nanopiliers de diamètres variant entre 100 nm et 2µm fabriquésà partir de la plaque avec des nanocristaux de 3.5 nm a donné des résultats tout à faitoriginaux. Pour ces nanopiliers, l'épaulement attribué au courant tunnel direct est observéseulement pour les diamètres de 2µm. Pour des diamètres de 500, 200 et 100 nm, cetépaulement est remplacé par une succession de pics de courant qui laissent penser que letransport s'eectue à travers un nombre discret de nanocristaux. Nous avons pu remarquerque ces pics de courants disparaissent peu à peu en accumulant les mesures. Il nous a étéimpossible d'analyser en profondeur l'origine de ces pics, car il n'a pas été possible deretrouver l'état initial des courbes I-V de ces structures.

L'étude en photocourant des structures a été réalisée dans la gamme 1 à 3 eV avec unsystème adapté sur un banc cryogénique sous pointes. Cette étude a permis de mettre enévidence que l'absorption avait principalement lieu à l'interface substrat/SiO2. Le signalde photocourant mesuré est donc a priori largement dominé par le substrat Si. L'analysespectrale n'a pas été possible à cause du spectre d'émission du système utilisée et probable-ment de phénomènes d'interférences. L'ensemble du système d'excitation optique génèreen eet des pics intrinsèques au banc de mesure exactement dans la gamme spectraleoù nous attendions la réponse des nanocristaux (1.5 eV et 1.8 eV). L'étude comparativedes spectres des diérents échantillons montre néanmoins qu'un signal de photocourantpourrait être attribué aux nanocristaux.

121

Page 142: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Bien que la détection de photocourant dans des nanocristaux individuels n'ait pu êtredémontrée, cette étude aura permis de vérier que nous pouvions réaliser des dispositifsphotosensibles dans le visible. Une optimisation de l'architecture et du système d'exci-tation optique reste néanmoins indispensable pour aller plus loin. An d'améliorer lesprises de contacts électriques, il serait également intéressant d'étudier l'isolation des na-nostructures avec d'autres résines polymères, notamment celles utilisés pour l'électroniqueorganique, telles que le benzocyclobutane. L'étalement d'un spin-on-glass ou le dépôt chi-mique en phase vapeur d'oxyde, suivi d'un polissage mécano-chimique, sont égalementà explorer. Les mesures électriques sur ces structures pourraient être comparées à desmesures de courant par pointe AFM sur des nanostructures non isolées. Nous avons pueectuer quelques tests en AFM électrique sur des nanopiliers non isolés. Cette techniquepourrait être également adaptée pour des mesures de photocourant localisées sous lapointe AFM an de permettre une étude des propriétés opto-électriques des nanocristauxen amont des réalisations technologiques des nanopixels.

Pour observer le blocage de Coulomb, il est nécessaire d'avoir des oxydes tunnel. D'aprèsl'analyse TEM, les couches d'oxyde, qui se trouvent au-dessus du plan de nanocristauxde silicium, sont trop épaisses. Avec les conditions de dépôt et de recuit utilisés pour noscouches, il sera dicile d'obtenir des oxydes minces. Il est ainsi nécessaire d'optimiser lesparamètres de dépôt et de recuit des couches d'oxyde non-st÷chiométrique, ou de s'orien-ter vers d'autres techniques de fabrication de nanocristaux de silicium, qui permettent unmeilleur contrôle de la résistance tunnel.

122

Page 143: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

ANNEXE AProcédé de fabrication détaillé développé surC178Pxx

Tableau A.1 Etapes détaillées du procédé utilisé pour la fabrication et l'iso-lation de nanopiliers sur C178Pxx

Etape Type Description1 Nettoyage chimique en bancs humides Opticlear+ultrasons 5 min

Acétone 5minIPA 5min

2 Évaporation e-beam 10 nm de chrome3 Évaporation par eet Joule 30 nm QSR-54 Électrolithographie : exposition Microscope : LEO VP

Tension : 20 kVDistance de travail : 3 mmDiaphragme : 30 µmCourant normal

5 Electrolithographie : développement Solvent : MEKDurée : 30 sRinçage méthanol et eau

6 Gravure ICP III-V Gravure du chromeGaz : Cl2/He/O2 (50/20/2 sccm)Puissance bobine : 375 WPuissance plateau : 6 WDurée : 45 s

7 Plasma O2 Plasmaline NettoyagePuissance : 100 WDurée : 1 min

8 Gravure RIE Gravure du polysilicium, du SiOx etdu subtratGaz : SF6 12 % (de 250 sccm)Puissance : 190 WDurée : 10 min

9 Prolométrie Mesure de la hauteur des structures10 Photolithographie : étalement de ré-

sineÉtalement de la SU-8 2015 diluée à 85%Vitesse : 5000 rpmDurée : 30 s

11 Photolithographie : recuit de résine Recuit de la SU-8 sur plaque chauf-fanteT = 95CDurée : 2 min

12 Photolithographie : exposition Exposition UV de la résine SU-8Intensité : 15 mW/cm2

Durée : 10 sSuite à la page suivante

123

Page 144: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Tableau A.1 suiteEtape Type Description13 Ellipsométrie Mesure de l'épaisseur de SU-8

Modèle : lm transparent sur silicium14 Plasmaline ou gravure RIE Gravure de la SU-8 par plasma O2

Puissance : 100 W15 Ellipsométrie Mesure de l'épaisseur de SU-8

Modèle : lm transparent sur silicium16 Etuves Vitrication de la SU-8

T = 250CDurée : 30 min

17 Ellipsométrie Mesure de l'épaisseur de SU-8Modèle : lm transparent sur silicium

18 Gravure humide Gravure du masque de Cr avec 7S10Durée : 1 min

19 Photolithographie : étalement de ré-sine

Étalement de la LOR 5A

Vitesse : 4000 rpmDurée : 30 s

20 Photolithographie : recuit de résine Recuit de la LOR 5A sur plaquechauanteT = 150CDurée : 5 min

21 Photolithographie : étalement de ré-sine

Étalement de la S1813

Vitesse : 4000 rpmDurée : 30 s

22 Photolithographie : recuit de résine Recuit de la S1813 sur plaque chauf-fanteT = 115CDurée : 1 min

23 Photolithographie : exposition Exposition UV de la S1813 pour dé-nir les contacts électriquesAlignement avec croix dénies durantla lithographie e-beamIntensité : 15 mW/cm2

Mode de contact : durForce : 25 mADurée : 10 s

24 Photolithographie : développement derésine

Développeur : LDD-26W

Durée : 80 sRinçage eau

25 Évaporation e-beam 50 nm de chrome et 200 nm d'or26 Photolithographie : soulèvement Solvant : Remover 1165

T = 70CDurée : 1 h

124

Page 145: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Tableau A.2 Paramètres d'exposition de la résine QSR-5, utilisés pour dié-rents motifs dans le logiciel NPGS

Motif dcc (nm) ls (nm) D (µC/cm2)Croix d'alignement 50 50 20000Plot de 2 µm de diamètre 50 50 30000Plot de 500 nm de diamètre 5 5 20000Plot de 200 nm de diamètre 5 5 20000Plot de 100 nm de diamètre 5 5 30000

125

Page 146: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

126

Page 147: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

ANNEXE BSimulation Medici

Les lignes de commandes présentées ci-dessous sont issues du chier output généré lorsde l'exécution du chier input dans le simulateur Medici de la compagnie Synopsys. Ellescorrespondent à la simulation électrique de la structure MOS de la plaque C178P10.

1 . . . TITLE Simulat ion s t r u c tu r e MOS C178P10

2 . . . COMMENT Dé f i n i t i o n du mai l lage , des rég ions , des contac t s e tdu dopage

3 . . . MESH4 . . . X.MESH WIDTH =1 N.SPACES =25 . . . Y.MESH NODE =1 LOCATION=−0.0506 . . . Y.MESH DEPTH =0.050 H1 =5e−3 H2 =1e−47 . . . Y.MESH DEPTH =0.016 H1 =0.0018 . . . Y.MESH DEPTH =0.100 H1 =1e−4 RATIO =1.1

9 . . . REGION SILICON NAME =s i l i c i um1 0 . . . REGION OXIDE NAME =oxyde Y.MAX =0.0161 1 . . . REGION POLYSILI NAME =po l y s i Y.MAX =0

1 2 . . . ELECTRODE NAME =subs t r a t BOTTOM1 3 . . . ELECTRODE NAME =g r i l l e TOP

1 4 . . . PROFILE N−TYPE UNIFORM N.PEAK =6E17 REGIO =s i l i c i um1 5 . . . PROFILE N−TYPE UNIFORM N.PEAK =2E20 REGION =po l y s i

1 6 . . . PLOT.2D GRID Y.LENGTH =10

1 7 . . . CONTACT NAME =subs t r a t NEUTRAL1 8 . . . CONTACT NAME =g r i l l e NEUTRAL

1 9 . . . COMMENT Paramètres phys iques des matériaux

2 0 . . . MATERIAL SILICON EG300 =1.12 PERMITTI =11.9. . . + ME.DT =1.06 MHH.DT =0.47

2 1 . . . MATERIAL POLYSILICON EG300 =1.12 PERMITTI =11.9. . . + ME.DT =1.06 MHH.DT =0.47. . . + A.FN =7.89098e−4 B.FN =1.24545 e8

2 2 . . . MATERIAL OXIDE PERMITTI =3.15 ME.DT =0.5 MHH.DT =7

2 3 . . . MATERIAL PRINT

2 4 . . . INTERFACE MATERIAL =(SILICON ,OXIDE) QF =3e11

2 5 . . . SAVE TIF OUT. FILE =mai l l age . t i f

2 6 . . . MODELS BOLTZMAN CONMOB FLDMOB CONSRH AUGER R.TUNNEL

127

Page 148: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

2 7 . . . SYMBOLIC CARRIERS =2 NEWTON2 8 . . . SOLVE OUT. FILE =TEST

2 9 . . . COMMENT Réso lut ion DC et AC avec courant FN3 0 . . . SYMBOLIC CARRIERS =2 NEWTON3 1 . . . LOG OUT. FILE =LOG_P103 2 . . . EXTRACT NAME =Eox EXPRESSION ="ABS(@EY)" UNITS =V/cm

. . . + COND ="@Y =0.01&@X =0.5" CLEAR3 3 . . . SOLVE V( g r i l l e ) =0 ELEC =g r i l l e VSTEP =0.1 NSTEPS =80

. . . + AC.ANALY FREQUENC =1e6 VSS =0.025 TERMINAL =g r i l l e3 4 . . . SOLVE V( g r i l l e ) =−0.1 ELEC =g r i l l e VSTEP =−0.1 NSTEPS =79

. . . + FN.CUR GATE.CUR

. . . + AC.ANALY FREQUENC =1e6 VSS =0.025 TERMINAL =g r i l l e

3 5 . . . EXTRACT NAME =Capa EXPRESSION ="−@C( subst rat , g r i l l e ) ". . . + UNITS =F/micron CLEAR

3 6 . . . COMMENT Courbes C−V et Eox vs . V

3 7 . . . PLOT.1D Y.AXIS =Capa X.AXIS =V( g r i l l e ) COLOR =2. . . + BOT =9e−16 TOP =2e−15. . . + TITLE ="C−V C178P10 à 1 MHz" OUT. FILE =CV_1MHz_P10

3 8 . . . PLOT.1D Y.AXIS =Eox X.AXIS =V( g r i l l e ) COLOR =2 BOT =0 TOP =6e6. . . + TITLE ="Eox vs . V C178P10" OUT. FILE =EvsV_P10

3 9 . . . COMMENT Courbe courant FN vs . V

4 0 . . . PLOT.1D X.AXIS =V( g r i l l e ) Y.AXIS =FE( g r i l l e ). . . + ABS LOG COLOR =2 LEFT =−8 BOTTOM =1e−19. . . + TITLE ="Courant Fowler−Nordheim en i nv e r s e C178P10". . . + OUT. FILE =courant_FN_P10

128

Page 149: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

LISTE DES RÉFÉRENCES

Alcatel-Lucent (2002) Researchers' invention spans the decades. Dans Alcatel-Lucent,Alcatel-Lucent. www.bell-labs.com/project/feature/archives/ccd/, page consultée le 29octobre 2009. (Citée à la page 1)

Ali, D. et Ahmed, H. (1994) Coulomb blockade in a silicon tunnel junction device. AppliedPhysics Letters, volume 64, no 16, p. 21192120. http://link.aip.org/link/?APL/64/2119/1. (Citée à la page 25)

Allan, G., Delerue, C., Lannoo, M. et Martin, E. (1995) Hydrogenic impurity levels,dielectric constant, and Coulomb charging eects in silicon crystallites. Physical ReviewB, volume 52, no 16, p. 1198211988. http://link.aps.org/abstract/PRB/v52/p11982.(Citée à la page 9)

Andor (2005) Electron Multiplying Charge Coupled device. www.emccd.com, page consul-tée le 29 octobre 2009. (Citée à la page 2)

Andor (2009) Digital camera fundamentals. Dans Andor, Andor Technology. www.andor.com/learning/digital_cameras/, page consultée le 29 octobre 2009. (Citée à la page 2)

ASTROLab (2006) Les caméras CCD. Dans ASTROLab, Le Canada sous les étoiles.http://astro-canada.ca/_fr/a2310.html, page consultée le 29 octobre 2009. (Citée à lapage 2)

Averin, D. et Likharev, K. (1991) Mesoscopic phenomena in solids, chapitre Single-electronics : Correlated transfer of single electrons and Cooper pairs in small tunneljunctions. Elsevier, Amsterdam, p. 173271. (Citée à la page 10)

Babi¢, D., Tsu, R. et Greene, R. F. (1992) Ground-state energies of one- and two-electronsilicon dots in an amorphous silicon dioxide matrix. Physical Review B, volume 45,no 24, p. 1415014155. http://prola.aps.org/abstract/PRB/v45/i24/p14150_1. (Citéeà la page 9)

Baron, T., Martin, F., Mur, P., Wyon, C. et Dupuy, M. (2000) Silicon quantum dotnucleation on Si3N4, SiO2 and SiOxNy substrates for nanoelectronic devices. Jour-nal of Crystal Growth, volume 209, no 4, p. 10041008. http://dx.doi.org/10.1016/S0022-0248(99)00742-3. (Citée aux pages 31, 51 et 52)

Baron, T., Mazen, F., Hartmann, J. M., Mur, P., Puglisi, R. A., Lombardo, S., Am-mendola, G. et Gerardi, C. (2004) Growth and characterization of LPCVD si quan-tum dots on insulators. Solid-State Electronics, volume 48, no 9, p. 15031509.http://dx.doi.org/10.1016/j.sse.2004.03.015. (Citée à la page 52)

Baron, T., Pelissier, B., Perniola, L., Mazen, F., Hartmann, J. M. et Rolland, G. (2003)Chemical vapor deposition of Ge nanocrystals on SiO2. Applied Physics Letters, vo-lume 83, no 7, p. 14441446. http://link.aip.org/link/?APL/83/1444/1. (Citée à lapage 79)

Batista, P. D., Gustafsson, M., de Lima, Jr., M. M., Beck, M., Talyanskii, V. I., Hey,R., Santos, P. V., Delsing, M. P. et Rarity, J. (2007) Acousto-electric single-photondetector. Dans Proceedings of the SPIE, volume 6583. SPIE, p. 658304. http://link.aip.org/link/?PSI/6583/658304/1. (Citée à la page 45)

129

Page 150: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Beattie, N. S., Kardynal, B. E., Shields, A. J., Farrer, I., Ritchie, D. A. et Pep-per, M. (2004) Photon-induced conductance steps and in situ modulation of disor-der in mesoscopic electron systems. Physical Review B, volume 70, no 8, p. 081304.http://link.aps.org/abstract/PRB/v70/e081304. (Citée à la page 42)

Beaumont, A. et Soui, A. (2009) Transient charging current measurements and modellingin silicon nanocrystal oating gate devices. Solid-State Electronics, volume 53, no 1,p. 4248. http://dx.doi.org/10.1016/j.sse.2008.09.011. (Citée à la page 109)

Bera, S. K., Chaudhuri, S., Bandyopadhyay, A. K., Chakraborty, B. R. et Pal, A. K.(2001) Quantum size eect in silicon nanocrystals prepared by dc magnetron sputtering.Journal of Physics D : Applied Physics, volume 34, no 3, p. 273278. http://stacks.iop.org/0022-3727/34/273. (Citée à la page 42)

Bergho, B., Suckow, S., Rölver, R., Spangenberg, B., Kurz, H., Dimyati, A. et Mayer,J. (2008) Resonant and phonon-assisted tunneling transport through silicon quantumdots embedded in SiO2. Applied Physics Letters, volume 93, no 13, 132111. http://link.aip.org/link/?APL/93/132111/1. (Citée à la page 39)

Bezryadin, A., Dekker, C. et Schmid, G. (1997) Electrostatic trapping of single conduc-ting nanoparticles between nanoelectrodes. Applied Physics Letters, volume 71, no 9,p. 12731275. http://link.aip.org/link/?APL/71/1273/1. (Citée à la page 21)

Bányai, L. et Koch, S. (1993) Semiconductor quantum dots. World Scientic, Singapoure.(Citée aux pages 9 et 18)

Boeuf, F., Jehl, X., Sanquer, M. et Skotnicki, T. (2003) Controlled single-electron eectsin nonoverlapped ultra-short silicon eld eect transistors. IEEE Transactions on Na-notechnology, volume 2, no 3, p. 144148. http://dx.doi.org/10.1109/TNANO.2003.817230. (Citée aux pages 24 et 25)

Bonafos, C., Carrada, M., Cherkashin, N., Con, H., Chassaing, D., Assayag, G. B.,Claverie, A., Müller, T., Heinig, K. H., Perego, M., Fanciulli, M., Dimitrakis, P. etNormand, P. (2004) Manipulation of two-dimensional arrays of Si nanocrystals embed-ded in thin SiO2 layers by low energy ion implantation. Journal of Applied Physics,volume 95, no 10, p. 56965702. http://link.aip.org/link/?JAP/95/5696/1. (Citée à lapage 49)

Bonafos, C., Colombeau, B., Altibelli, A., Carrada, M., Ben Assayag, G., Garrido, B.,Lopez, M., Perez-Rodriguez, A., Morante, J. R. et Claverie, A. (2001) Kinetic studyof group IV nanoparticles ion beam synthesized in SiO2. Nuclear Instruments andMethods in Physics Research Section B, volume 178, no 1-4, p. 1724. http://dx.doi.org/10.1016/S0168-583X(01)00497-9. (Citée aux pages 49 et 50)

Brandt, M. S., Fuchs, H. D., Stutzmann, M., Weber, J. et Cardona, M. (1992) The origin ofvisible luminescence from porous silicon : A new interpretation. Solid State Communica-tions, volume 81, no 4, p. 307312. http://dx.doi.org/10.1016/0038-1098(92)90815-Q.(Citée à la page 40)

Briand, D., Sarret, M., Kis-Sion, K., Mohammed-Brahim, T. et Duverneuil, P. (1999) Insitu doping of silicon deposited by LPCVD : pressure inuence on dopant incorporationmechanisms. Semiconductor Science and Technology, volume 14, no 2, p. 173180.http://stacks.iop.org/0268-1242/14/173. (Citée à la page 51)

130

Page 151: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Broers, A. N., Molzen, W. W., Cuomo, J. J. et Wittels, N. D. (1976) Electron-beamfabrication of 80-Å metal structures. Applied Physics Letters, volume 29, no 9, p. 596598. http://link.aip.org/link/?APL/29/596/1. (Citée à la page 55)

Brown, E. R., Sollner, T. C. L. G., Parker, C. D., Goodhue, W. D. et Chen, C. L. (1989)Oscillations up to 420 Ghz in GaAs/AlAs resonant tunneling diodes. Applied PhysicsLetters, volume 55, no 17, p. 17771779. http://link.aip.org/link/?APL/55/1777/1.(Citée à la page 39)

Brown, R. et imánek, E. (1986) Transition to ohmic conduction in ultrasmall tunneljunctions. Physical Review B, volume 34, no 4, p. 29572959. http://link.aps.org/abstract/PRB/v34/p2957. (Citée à la page 17)

Buat, S., Lucey, S., Rao Yalamanchili, M. et Hatcher, C. (2002) Hot phosphoric acidAPC for silicon nitride etch. Semiconductor International, volume 25, no 9, p. 5764.http://www.semiconductor.net/article/CA235142.html. (Citée à la page 57)

Buet, N., Mur, P., De Salvo, B. et Semeria, M. (2002) Silicon nanocrystals precipitationin a SiO2 matrix elaborated from the decomposition of LPCVD SiOx. Dans Proceedingsof the 2002 2nd IEEE Conference on Nanotechnology. IEEE, p. 269272. http://dx.doi.org/10.1109/NANO.2002.1032244. (Citée à la page 51)

Busseret, C. (2001) Etudes optiques et électriques des propriétés électroniques de nano-cristaux de silicium pour composants mono-électroniques. Thèse de doctorat, INSA deLyon. (Citée aux pages 104 et 109)

Busseret, C., Soui, A., Baron, T., Guillot, G., Martin, F., Semeria, M. N. et Gautier, J.(2000) Discharge mechanisms modeling in LPCVD silicon nanocrystals using C-V andcapacitance transient techniques. Superlattices and Microstructures, volume 28, no 5-6,p. 493500. http://dx.doi.org/10.1006/spmi.2000.0953. (Citée aux pages 91 et 108)

Cain, P. A., Ahmed, H., Williams, D. A. et Bonar, J. M. (2000) Hole transport throughsingle and double SiGe quantum dots. Applied Physics Letters, volume 77, no 21,p. 34153417. http://link.aip.org/link/?APL/77/3415/1. (Citée à la page 39)

Camata, R. P., Atwater, H. A., Vahala, K. J. et Flagan, R. C. (1996) Size classicationof silicon nanocrystals. Applied Physics Letters, volume 68, no 22, p. 31623164. http://link.aip.org/link/?APL/68/3162/1. (Citée à la page 53)

Canham, L. T. (1990) Silicon quantum wire array fabrication by electrochemical andchemical dissolution of wafers. Applied Physics Letters, volume 57, no 10, p. 10461048. http://link.aip.org/link/?APL/57/1046/1. (Citée à la page 40)

Chelikowsky, J. R. et Cohen, M. L. (1974) Electronic structure of silicon. Physical Re-view B, volume 10, no 12, p. 50955107. http://prola.aps.org/abstract/PRB/v10/i12/p5095_1. (Citée à la page 5)

Chen, W. et Ahmed, H. (1993) Fabrication of 5-7 nm wide etched lines in silicon using100 keV electron-beam lithography and polymethylmethacrylate resist. Applied PhysicsLetters, volume 62, no 13, p. 14991501. http://link.aip.org/link/?APL/62/1499/1.(Citée à la page 55)

Chen, W., Ahmed, H. et Nakazoto, K. (1995) Coulomb blockade at 77 K in nanoscalemetallic islands in a lateral nanostructure. Applied Physics Letters, volume 66, no 24,p. 33833384. http://link.aip.org/link/?APL/66/3383/1. (Citée à la page 21)

131

Page 152: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Chen, X. Y., Lu, Y. F., Tang, L. J., Wu, Y. H., Cho, B. J., Xu, X. J., Dong, J. R. etSong, W. D. (2005) Annealing and oxidation of silicon oxide lms prepared by plasma-enhanced chemical vapor deposition. Journal of Applied Physics, volume 97, no 1,p. 014913. http://link.aip.org/link/?JAP/97/014913/1. (Citée aux pages 51 et 60)

Cheng, X. R., Cheng, Y. C. et Liu, B. Y. (1988) Nitridation-enhanced conductivity be-havior and current transport mechanism in thin thermally nitrided SiO2. Journal ofApplied Physics, volume 63, no 3, p. 797802. http://link.aip.org/link/?JAP/63/797/1.(Citée à la page 97)

Chiang (2008) High-program/erase-speed SONOS with in situ silicon nanocrystals. IEEEElectron Device Letters, volume 29, no 10, p. 11481151. http://dx.doi.org/10.1109/LED.2008.2002944. (Citée à la page 38)

Choi, B. H., Hwang, S. W., Kim, I. G., Shin, H. C., Kim, Y. et Kim, E. K. (1998)Fabrication and room-temperature characterization of a silicon self-assembled quantum-dot transistor. Applied Physics Letters, volume 73, no 21, p. 31293131. http://link.aip.org/link/?APL/73/3129/1. (Citée à la page 31)

Chopra, K., Major, S. et Pandya, D. (1983) Transparent conductors - a status review.Thin Solid Films, volume 102, no 1, p. 1 46. http://dx.doi.org/10.1016/0040-6090(83)90256-0. (Citée aux pages 76 et 77)

Cleland, A. N., Esteve, D., Urbina, C. et Devoret, M. H. (1992) Very low noise photo-detector based on the single electron transistor. Applied Physics Letters, volume 61,no 23, p. 28202822. http://link.aip.org/link/?APL/61/2820/1. (Citée à la page 45)

Clerc, R., Devoivre, T., Ghibaudo, G., Caillat, C., Guégan, G., Reimbold, G. et Pa-nanakakis, G. (2000) Capacitance-Voltage (C-V) characterization of 20 Å-thick gateoxide : parameter extraction and modeling. Microelectronics Reliability, volume 40,no 4-5, p. 571 575. http://www.sciencedirect.com/science/article/B6V47-408X480-5/2/8368a7d898459df45c83f2611c7e68a4. (Citée à la page 99)

Con, H., Bonafos, C., Schamm, S., Cherkashin, N., Assayag, G. B., Claverie, A., Re-spaud, M., Dimitrakis, P. et Normand, P. (2006) Oxidation of Si nanocrystals fabri-cated by ultralow-energy ion implantation in thin SiO2 layers. Journal of AppliedPhysics, volume 99, no 4, 044302. http://link.aip.org/link/?JAP/99/044302/1. (Citéeaux pages 50, 60 et 85)

Coskun, U. C., Mebrahtu, H., Huang, P. B., Huang, J., Sebba, D., Biasco, A., Makarovski,A., Lazarides, A., LaBean, T. H. et Finkelstein, G. (2008) Single-electron transistorsmade by chemical patterning of silicon dioxide substrates and selective deposition ofgold nanoparticles. Applied Physics Letters, volume 93, no 12, 123101. http://link.aip.org/link/?APL/93/123101/1. (Citée à la page 22)

Cote, D., Nguyen, S., Cote, W., Pennington, S., Stamper, A. et Podlesnik, D. (1995) Low-temperature chemical vapor deposition processes and dielectrics for microelectroniccircuit manufacturing at IBM. IBM Journal of Research and Development, volume 39,no 4, p. 437464. (Citée à la page 60)

Cote, D., Nguyen, S., Stamper, A., Armbrust, D., Tobben, D., Conti, R. et Lee, G. (1999)Plasma-assisted chemical vapor deposition of dielectric thin lms for ULSI semiconduc-tor circuits. IBM Journal of Research and Development, volume 43, no 1-2, p. 539.(Citée à la page 60)

132

Page 153: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

CRN2 (février 2009a) Microscope électronique à balayage Jeol. Dans CRN2, Centre deRecherche en Nanofabrication et Nanocaractérisation. www.gel.usherbrooke.ca/crn2/index.php?page=jeol, page consultée le 29 octobre 2009. (Citée à la page 55)

CRN2 (février 2009b) Microscope électronique à balayage Zeiss Supra 55VP à pressionvariable avec source à eet de champ. Dans CRN2, Centre de Recherche en Nanofabri-cation et Nanocaractérisation. www.gel.usherbrooke.ca/crn2/index.php?page=supra,page consultée le 29 octobre 2009. (Citée à la page 55)

CRN2 (février 2009c) QSR-5 e-beam resist. Dans CRN2, Centre de Recherche en Nanofa-brication et Nanocaractérisation. www.gel.usherbrooke.ca/crn2/techQSR5_text.htm,page consultée le 29 octobre 2009. (Citée à la page 56)

CRN2 (février 2009d) Réacteur PECVD. Dans CRN2, Centre de Recherche en Na-nofabrication et Nanocaractérisation. www.gel.usherbrooke.ca/crn2/index.php?page=PECVDSTS, page consultée le 29 octobre 2009. (Citée à la page 60)

CRN2 (février 2009e) Système de gravure par plasma AOE. Dans CRN2, Centre deRecherche en Nanofabrication et Nanocaractérisation. www.gel.usherbrooke.ca/crn2/index.php?page=AOE, page consultée le 29 octobre 2009. (Citée à la page 57)

CRN2 (février 2009f) Système de gravure par plasma ASE. Dans CRN2, Centre deRecherche en Nanofabrication et Nanocaractérisation. www.gel.usherbrooke.ca/crn2/index.php?page=ASE, page consultée le 29 octobre 2009. (Citée à la page 57)

CRN2 (février 2009g) Système de gravure par plasma ICP III-V. Dans CRN2, Centre deRecherche en Nanofabrication et Nanocaractérisation. www.gel.usherbrooke.ca/crn2/index.php?page=ICP, page consultée le 29 octobre 2009. (Citée à la page 57)

Daniel, J. H., Krusor, B., Apte, R. B., Mulato, M., Schuylenbergh, K. V., Lau, R., Do,T., Street, R. A., Goredema, A., Boils-Boissier, D. C. et Kazmaier, P. M. (2001) Micro-electro-mechanical system fabrication technology applied to large area x-ray image sen-sor arrays. Journal of Vacuum Science and Technology A, volume 19, no 4, p. 12191223.http://dx.doi.org/10.1116/1.1380226. (Citée aux pages 60 et 73)

De La Torre, J. (2003) Etudes des propriétés opto-électroniques de structures et de com-posants à base de nanostructures de Si. Thèse de doctorat, Insa de Lyon. http://csidoc.insa-lyon.fr/these/pont.php?id=de_la_torre. (Citée à la page 89)

De Salvo, B., Ghibaudo, G., Panabnakakis, G., Guillaumo, B. et Reimbold, G. (1999)Study of stress induced leakage current by using high resolution measurements. Mi-croelectronics Reliability, volume 39, no 6-7, p. 797802. http://dx.doi.org/10.1016/S0026-2714(99)00103-1. (Citée à la page 96)

De Salvo, B., Ghibaudo, G., Pananakakis, G., Guillaumot, B. et Reimbold, G. (2000a) Ageneral bulk-limited transport analysis of a 10 nm-thick oxide stress-induced leakagecurrent. Solid-State Electronics, volume 44, no 6, p. 895903. http://dx.doi.org/10.1016/S0038-1101(00)00028-9. (Citée à la page 96)

De Salvo, B., Luthereau, P., Baron, T., Ghibaudo, G., Martin, F., Fraboulet, D., Reimbold,G. et Gautier, J. (2000b) Transport process in thin SiO2 lms with an embedded 2-Darray of Si nanocrystals. Microelectronics Reliability, volume 40, no 4-5, p. 863866.http://dx.doi.org/10.1016/S0026-2714(99)00329-7. (Citée à la page 104)

Decossas, S., Mazen, F., Baron, T., Brémond, G. et Soui, A. (2003) Atomic force mi-croscopy nanomanipulation of silicon nanocrystals for nanodevice fabrication. Nano-

133

Page 154: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

technology, volume 14, no 12, p. 12721278. http://stacks.iop.org/0957-4484/14/1272.(Citée aux pages 31 et 52)

Delerue, C., Allan, G. et Lannoo, M. (1993) Theoretical aspects of the luminescence ofporous silicon. Physical Review B, volume 48, no 15, p. 1102411036. http://link.aps.org/abstract/PRB/v48/p11024. (Citée aux pages 9 et 10)

Deleruyelle, D., Cluzel, J., De Salvo, B., Fraboulet, D., Mariolle, D., Buet, N. et De-leonibus, S. (2003) Electrical characterization of fast transient phenomena in a Si-richbased non-volatile random access memory. Solid-State Electronics, volume 47, no 10,p. 16411644. http://dx.doi.org/10.1016/S0038-1101(03)00171-0. (Citée à la page 51)

Dolan, G. J. (1977) Oset masks for lift-o photoprocessing. Applied Physics Letters,volume 31, no 5, p. 337339. http://link.aip.org/link/?APL/31/337/1. (Citée à lapage 19)

Dovinos, D. et Williams, D. (2005) Transport in a lithographically dened Si :Ge Coulomb-blockade island under microwave irradiation. Physical Review B, volume 72, no 8,085313. http://link.aps.org/abstract/PRB/v72/e085313. (Citée à la page 43)

Dubuc, C., Beauvais, J. et Drouin, D. (2007) Single-electron transistors with wideoperating temperature range. Applied Physics Letters, volume 90, no 11, 113104.http://link.aip.org/link/?APL/90/113104/1. (Citée aux pages 23 et 24)

Dubuc, C., Beauvais, J. et Drouin, D. (2008) A nanodamascene process for advancedsingle-electron transistor fabrication. IEEE Transactions on Nanotechnology, volume 7,no 1, p. 6873. http://dx.doi.org/10.1109/TNANO.2007.913430. (Citée à la page 23)

Dutta, A., Lee, S. P., Hayafune, Y., Hatatani, S. et Oda, S. (2000a) Single-electrontunneling devices based on silicon quantum dots fabricated by plasma process. Ja-panese Journal of Applied Physics, volume 39, no Part 1, No. 1, p. 264267. http://jjap.ipap.jp/link?JJAP/39/264/. (Citée à la page 31)

Dutta, A., Oda, S., Fu, Y. et Willander, M. (2000b) Electron transport in nanocrystallineSi based single electron transistors. Japanese Journal of Applied Physics, volume 39,no Part 1, No. 7B, p. 46474650. http://jjap.ipap.jp/link?JJAP/39/4647/. (Citée à lapage 31)

EerNisse, E. P. (1977) Viscous ow of thermal SiO2. Applied Physics Letters, volume 30,no 6, p. 290293. http://link.aip.org/link/?APL/30/290/1. (Citée à la page 59)

Efros, A. L. et Efros, A. L. (1982) Interbanb absorption of light in a semiconductor sphere.Soviet Physics Semiconductors (English translation of Fizika i Tekhnika Poluprovodni-kov), volume 16, no 7, p. 772775. (Citée à la page 18)

Ehbrecht, M. et Ferkel, H. (1997) Generation, analysis, and deposition of silicon nanocrys-tals up to 10 nm in diameter. Zeitschrift für Physik D Atoms, Molecules and Clusters,volume 40, no 1, p. 8892. http://www.springerlink.com/content/wxwexe7mh37gklg0/.(Citée à la page 54)

Field, S. B., Kastner, M. A., Meirav, U., Scott-Thomas, J. H. F., Antoniadis, D. A.,Smith, H. I. et Wind, S. J. (1990) Conductance oscillations periodic in the density ofone-dimensional electron gases. Physical Review B, volume 42, no 6, p. 35233536.http://prola.aps.org/abstract/PRB/v42/i6/p3523_1. (Citée à la page 19)

Finley, J. J., Skalitz, M., Arzberger, M., Zrenner, A., Bohm, G. et Abstreiter, G. (1998)Electrical detection of optically induced charge storage in self-assembled InAs quantum

134

Page 155: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

dots. Applied Physics Letters, volume 73, no 18, p. 26182620. http://link.aip.org/link/?APL/73/2618/1. (Citée à la page 42)

Fischer, P. B., Dai, K., Chen, E. et Chou, S. Y. (1993) 10 nm si pillars fabricated usingelectron-beam lithography, reactive ion etching, and HF etching. Journal of VacuumScience and Technology B, volume 11, no 6, p. 25242527. http://link.aip.org/link/?JVB/11/2524/1. (Citée à la page 56)

Fitting, H. J., Barfels, T., Trukhin, A. N. et Schmidt, B. (2001) Cathodoluminescenceof crystalline and amorphous SiO2 and GeO2. Journal of Non-Crystalline Solids, vo-lume 279, no 1, p. 5159. http://dx.doi.org/10.1016/S0022-3093(00)00348-3. (Citée àla page 88)

Fitting, H.-J., Salh, R. et Schmidt, B. (2008) Thermal decomposition and new lumi-nescence bands in wet, dry, and additional oxygen implanted silica layers. Journalof Non-Crystalline Solids, volume 354, no 15-16, p. 16971702. http://dx.doi.org/10.1016/j.jnoncrysol.2007.09.003. (Citée à la page 89)

Fleischer, S., Lai, P. T. et Cheng, Y. C. (1992) Simplied closed-form trap-assisted tunne-ling model applied to nitrided oxide dielectric capacitors. Journal of Applied Physics,volume 72, no 12, p. 57115715. http://link.aip.org/link/?JAP/72/5711/1. (Citée à lapage 97)

Flensberg, K. (1993) Capacitance and conductance of mesoscopic systems connected byquantum point contacts. Physical Review B, volume 48, no 15, p. 1115611166. http://link.aps.org/abstract/PRB/v48/p11156. (Citée à la page 17)

Fowler, R. H. et Nordheim, L. (1928) Electron emission in intense electric elds. Procee-dings of the Royal Society of London. Series A, Containing Papers of a Mathematicaland Physical Character, volume 119, no 781, p. 173181. http://www.jstor.org/stable/95023. (Citée à la page 92)

Fraboulet, D., Jehl, X., Mariolle, D., Le Royer, C., Le Carval, G., Scheiblin, P., Rivallin, P.,Mollard, L., Deleroyelle, D., Nier, M., Tooli, A., Molas, G., De Salvo, B., Deleonibus,S. et Sanquer, M. (September 2002) Coulomb blockade in thin SOI nanodevices. DansProceeding of the 32nd European Solid-State Device Research Conference 2002. IEEE,p. 395398. http://ieeexplore.ieee.org/xpls/abs_all.jsp?arnumber=1503881. (Citée àla page 24)

Franssila, S. (2004) Introduction to Microfabrication. Wiley. (Citée à la page 54)

Frenkel, J. (1938) On pre-breakdown phenomena in insulators and electronic semi-conductors. Physical Review, volume 54, no 8, p. 647648. http://prola.aps.org/abstract/PR/v54/i8/p647_1. (Citée à la page 95)

Fujiwara, A., Takahashi, Y. et Murase, K. (1997) Observation of single electron-hole re-combination and photon-pumped current in an asymmetric Si single-electron transistor.Physical Review Letters, volume 78, no 8, p. 15321535. http://prola.aps.org/abstract/PRL/v78/i8/p1532_1. (Citée aux pages 43 et 44)

Fukuda, H., Hoyt, J. L., McCord, M. A. et Pease, R. F. W. (1997) Fabrication of siliconnanopillars containing polycrystalline silicon/insulator multilayer structures. AppliedPhysics Letters, volume 70, no 3, p. 333335. http://link.aip.org/link/?APL/70/333/1.(Citée aux pages 32 et 59)

135

Page 156: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Fulton, T. et Dolan, G. J. (1987) Observation of single-electron charging eects in smalltunnel junctions. Physical Review Letters, volume 59, no 1, p. 109112. http://link.aps.org/abstract/PRL/v59/p109. (Citée à la page 19)

Gansen, J., Rowe, M., Greene, M., Rosenberg, D., Harvey, T., Su, M., Hadeld,R.H.and Nam, S. W. et Mirin, R. (2007) Photon-number-discriminating detection usinga quantum-dot, optically gated, eld-eect transistor. Nature Photonics, volume 1,no 10, p. 585588. http://dx.doi.org/10.1038/nphoton.2007.173. (Citée à la page 42)

Garrido, B., Cheylan, S., González-Varona, O., Pérez-Rodríguez, A. et Morante, J. R.(2003) The eect of additional oxidation on the memory characteristics of metal-oxide-semiconductor capacitors with Si nanocrystals. Applied Physics Letters, volume 82,no 26, p. 48184820. http://link.aip.org/link/?APL/82/4818/1. (Citée à la page 50)

Giaever, I. et Zeller, H. R. (1968) Superconductivity of small tin particles measured bytunneling. Physical Review Letters, volume 20, no 26, p. 15041507. http://link.aps.org/abstract/PRL/v20/p1504. (Citée à la page 10)

Goldberg, M., Trukhin, A. et Fitting, H.-J. (1996) Dose eects of cathodoluminescence inSiO2 layers on Si. Materials Science and Engineering : B, volume 42, no 1-3, p. 293296.http://dx.doi.org/10.1016/S0921-5107(96)01724-2. (Citée à la page 88)

Goldberger, J., Hochbaum, A. I., Fan, R. et Yang, P. (2006) Silicon vertically integratednanowire eld eect transistors. Nano Letters, volume 6, no 5, p. 973977. http://pubs.acs.org/doi/abs/10.1021/nl060166j. (Citée à la page 32)

Good, R. H. et Müller, E. W. (1956) Handbuch der Physik, chapitre Field emission,volume XXI. Springer-Verlag, Berlin, p. 176231. (Citée à la page 93)

Gorter, C. (1951) A possible explanation of the increase of the electrical resistance ofthin metal lms at low temperatures and small eld strengths. Physica, volume 17,p. 777780. (Citée à la page 10)

Grabert, H. et Devoret, M. H. (1992) Single Charge Tunneling : Coulomb Blockade Phe-nomena in Nanostructures. Plenum Press, New-York. (Citée à la page 11)

Guha, S., Qadri, S. B., Musket, R. G., Wall, M. A. et Shimizu-Iwayama, T. (2000) Cha-racterization of Si nanocrystals grown by annealing SiO2 lms with uniform concen-trations of implanted Si. Journal of Applied Physics, volume 88, no 7, p. 39543961.http://link.aip.org/link/?JAP/88/3954/1. (Citée à la page 49)

Guo, L., Leobandung, E. et Chou, S. (December 1996) Si single-electron MOS memorywith nanoscale oating-gate and narrow channel. Dans International Electron DevicesMeeting Technical Digest 1996. IEEE, p. 955956. http://dx.doi.org/10.1109/IEDM.1996.554141. (Citée à la page 35)

Guo, L., Leobandung, E., Zhuang, L. et Chou, S. Y. (1997) Fabrication and characteri-zation of room temperature silicon single electron memory. Dans Papers from the 41stinternational conference on electron, ion, and photon beam technology and nanofabrica-tion, volume 15. AVS, p. 28402843. http://link.aip.org/link/?JVB/15/2840/1. (Citéeaux pages 35 et 36)

Hamamatsu (2009) Review articles. Dans Hamamatsu, Hamamatsu Learning Center :Education in Digital Imaging. http://learn.hamamatsu.com/articles/, page consultéele 29 octobre 2009. (Citée aux pages 2 et 3)

136

Page 157: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Hana, H., Tiwari, S. et Khan, I. (1996) Fast and long retention-time nano-crystalmemory. IEEE Transactions on Electron Devices, volume 43, no 9, p. 15531558.http://dx.doi.org/10.1109/16.535349. (Citée à la page 37)

Hanson, G. W. (2007) Fundamentals of nanoelectronics, 1re édition. Prentice Hall. (Citéeà la page 8)

Hatzakis, M. (1969) Electron resists for microcircuit and mask production. Journal ofThe Electrochemical Society, volume 116, no 7, p. 10331037. http://link.aip.org/link/?JES/116/1033/1. (Citée à la page 55)

Heidemeyer, H., Single, C., Zhou, F., Prins, F. E., Kern, D. P. et Plies, E. (2000)Self-limiting and pattern dependent oxidation of silicon dots fabricated on silicon-on-insulator material. Journal of Applied Physics, volume 87, no 9, p. 45804585.http://link.aip.org/link/?JAP/87/4580/1. (Citée à la page 59)

Henrickson, L. E., Glick, A. J., Bryant, G. W. et Barbe, D. F. (1994) Nonequilibrium-Green's-function theory of transport in interacting quantum dots. Physical Review B,volume 50, no 7, p. 44824496. http://link.aps.org/abstract/PRB/v50/p4482. (Citéeà la page 17)

Hernández, S., Pellegrino, P., Martínez, A., Lebour, Y., Garrido, B., Spano, R., Cazzanelli,M., Daldosso, N., Pavesi, L., Jordana, E. et Fedeli, J. M. (2008) Linear and nonlinearoptical properties of Si nanocrystals in SiO2 deposited by plasma-enhanced chemical-vapor deposition. Journal of Applied Physics, volume 103, no 6, 064309. http://link.aip.org/link/?JAP/103/064309/1. (Citée à la page 42)

Hesto, P. (1986) Instabilities in silicon devices, chapitre The nature of electronic conduc-tion in thin insulating layers. Elsevier Science Publisher, Amsterdam, p. 56106. (Citéeaux pages 92, 95 et 96)

Hill, R. M. (1971) Poole-Frenkel conduction in amorphous solids. PhilosophicalMagazine, volume 23, no 181, p. 5986. http://www.informaworld.com/10.1080/14786437108216365. (Citée à la page 95)

Hinds, B. J., Wang, F., Wolfe, D. M., Hinkle, C. L. et Lucovsky, G. (1998) Investigationof postoxidation thermal treatments of Si/SiO2 interface in relationship to the kineticsof amorphous Si suboxide decomposition. Journal of Vacuum Science and TechnologyB, volume 16, no 4, p. 21712176. http://link.aip.org/link/?JVB/16/2171/1. (Citée àla page 50)

Hocevar, M. (2008) Croissance et caractérisation électrique de nanocristaux d'InAs/SiO2

pour des applications de mémoires non volatiles sur silicium. Thèse de doctorat, INSAde Lyon. http://docinsa.insa-lyon.fr/these/pont.php?id=hocevar. (Citée aux pages 37et 80)

Hocevar, M., Regreny, P., Descamps, A., Albertini, D., Saint-Girons, G., Soui, A., Gen-dry, M. et Patriarche, G. (2007) InAs nanocrystals on SiO2/Si by molecular beamepitaxy for memory applications. Applied Physics Letters, volume 91, no 13, 133114.http://link.aip.org/link/?APL/91/133114/1. (Citée à la page 80)

Houng, M. P., Wang, Y. H. et Chang, W. J. (1999) Current transport mechanism in trap-ped oxides : A generalized trap-assisted tunneling model. Journal of Applied Physics,volume 86, no 3, p. 14881491. http://link.aip.org/link/?JAP/86/1488/1. (Citée à lapage 97)

137

Page 158: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Hu, S.-F., Liao, T.-W. et Huang, C.-Y. (2007) Photodetector with articial atoms ofsilicon. Applied Physics Letters, volume 91, no 7, p. 071125. http://link.aip.org/link/?APL/91/071125/1. (Citée aux pages 45 et 46)

Hu, S.-F., Wu, Y.-C., Sung, C.-L., Chang, C.-Y. et Huang, T.-Y. (2004) A dual-gate-controlled single-electron transistor using self-aligned polysilicon sidewall spacer gateson silicon-on-insulator nanowire. IEEE Transactions on Nanotechnology, volume 3,no 1, p. 9397. http://dx.doi.org/10.1109/TNANO.2003.820784. (Citée à la page 27)

Hu, S.-F., Yang, H.-H., Lin, H.-T., Sung, C.-L. et Wan, Y.-M. (July 2005) Fabricationand electron transport in vertical silicon-silicon nitride-silicon multilayer nano-pillars.Dans 5th IEEE Conference on Nanotechnology, 2005, volume 2. IEEE, p. 557560.http://dx.doi.org/10.1109/NANO.2005.1500825. (Citée aux pages 32 et 33)

Huang, S., Arai, K., Usami, K. et Oda, S. (2004) Toward long-term retention-time single-electron-memory devices based on nitrided nanocrystalline silicon dots. IEEE Tran-sactions on Nanotechnology, volume 3, no 1, p. 210214. http://dx.doi.org/10.1109/TNANO.2004.824037. (Citée à la page 38)

Hybertsen, M. S. (1994) Absorption and emission of light in nanoscale silicon structures.Physical Review B, volume 72, no 10, p. 15141517. http://prola.aps.org/abstract/PRL/v72/i10/p1514_1. (Citée à la page 10)

Iacona, F., Bongiorno, C., Spinella, C., Boninelli, S. et Priolo, F. (2004) Formation andevolution of luminescent Si nanoclusters produced by thermal annealing of SiOx lms.Journal of Applied Physics, volume 95, no 7, p. 37233732. http://link.aip.org/link/?JAP/95/3723/1. (Citée à la page 51)

Ichikawa, K., Punchaipetch, P., Yano, H., Hatayama, T., Uraoka, Y., Fuyuki, T., Takaha-shi, E., Hayashi, T. et Ogata, K. (2005) Electron injection into Si nanodot fabricatedby side-wall plasma enhanced chemical vapor deposition. Japanese Journal of AppliedPhysics, volume 44, no 26, p. L836L838. http://jjap.ipap.jp/link?JJAP/44/L836/.(Citée à la page 106)

Ifuku, T., Otobe, M., Itoh, A. et Oda, S. (1997) Fabrication of nanocrystalline silicon withsmall spread of particle size by pulsed gas plasma. Japanese Journal of Applied Physics,volume 36, no Part 1, No. 6B, p. 40314034. http://jjap.ipap.jp/link?JJAP/36/4031/.(Citée aux pages 31 et 54)

Ikeda, H., Iwasaki, M., Ishikawa, Y. et Tabe, M. (2003) Resonant tunneling characteristicsin SiO2/Si double-barrier structures in a wide range of applied voltage. Applied Phy-sics Letters, volume 83, no 7, p. 14561458. http://link.aip.org/link/?APL/83/1456/1.(Citée à la page 39)

Ikeda, H., Nuryadi, R., Ishikawa, Y. et Tabe, M. (2004) Photoinduced eects on single-charge tunneling in a Si two-dimensional multidot eld-eect transistor. Japanese Jour-nal of Applied Physics, volume 43, no 6B, p. L759L761. http://jjap.ipap.jp/link?JJAP/43/L759/. (Citée à la page 44)

Inokawa, H., Fujiwara, A. et Takahashi, Y. (2002) A merged single-electron transistor andmetal-oxide-semiconductor transistor logic for interface and multiple-valued functions.Japanese Journal of Applied Physics, volume 41, no Part 1, No. 4B, p. 25662568.http://jjap.ipap.jp/link?JJAP/41/2566/. (Citée à la page 34)

Inokuma, T., Kurata, Y. et Hasegawa, S. (1998a) Cathodoluminescence properties of si-licon nanocrystallites embedded in silicon oxide thin lms. Journal of Luminescence,

138

Page 159: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

volume 80, no 1-4, p. 247251. http://dx.doi.org/10.1016/S0022-2313(98)00106-9. (Ci-tée aux pages 88 et 89)

Inokuma, T., Wakayama, Y., Muramoto, T., Aoki, R., Kurata, Y. et Hasegawa, S. (1998b)Optical properties of Si clusters and Si nanocrystallites in high-temperature annealedSiOx lms. Journal of Applied Physics, volume 83, no 4, p. 22282234. http://link.aip.org/link/?JAP/83/2228/1. (Citée à la page 51)

Irvine, A. C., Durrani, Z. A. K., Ahmed, H. et Biesemans, S. (1998) Single-electron eectsin heavily doped polycrystalline silicon nanowires. Applied Physics Letters, volume 73,no 8, p. 11131115. http://link.aip.org/link/?APL/73/1113/1. (Citée à la page 27)

Ishikawa, Y., Ishihara, T., Iwasaki, M. et Tabe, M. (2001) Negative dierential conduc-tance due to resonant tunnelling through SiO2/single-crystalline-Si double barrier struc-ture. Electronics Letters, volume 37, no 19, p. 12001201. http://dx.doi.org/10.1049/el:20010817. (Citée à la page 39)

Ishikuro, H. et Hiramoto, T. (1997) Quantum mechanical eects in the silicon quantumdot in a single-electron transistor. Applied Physics Letters, volume 71, no 25, p. 36913693. http://link.aip.org/link/?APL/71/3691/1. (Citée à la page 28)

Ishikuro, H. et Hiramoto, T. (1999) On the origin of tunneling barriers in silicon singleelectron and single hole transistors. Applied Physics Letters, volume 74, no 8, p. 11261128. http://link.aip.org/link/?APL/74/1126/1. (Citée à la page 26)

Ismail, K., Meyerson, B. S. et Wang, P. J. (1991) Electron resonant tunneling in Si/SiGedouble barrier diodes. Applied Physics Letters, volume 59, no 8, p. 973975. http://link.aip.org/link/?APL/59/973/1. (Citée à la page 39)

Junno, T., Carlsson, S.-B., Xu, H., Montelius, L. et Samuelson, L. (1998) Fabrication ofquantum devices by Ångström-level manipulation of nanoparticles with an atomic forcemicroscope. Applied Physics Letters, volume 72, no 5, p. 548550. http://link.aip.org/link/?APL/72/548/1. (Citée à la page 21)

Kalce, M. A. S. et Phillips, M. R. (1995) Cathodoluminescence microcharacterizationof the defect structure of quartz. Physical Review B, volume 52, no 5, p. 31223134.http://prola.aps.org/abstract/PRB/v52/i5/p3122_1. (Citée à la page 88)

Kang, S., Kim, D.-H., Park, I.-H., Kim, J.-H., Lee, J.-E., Lee, J. D. et Park, B.-G. (2008)Self-aligned dual-gate single-electron transistors. Japanese Journal of Applied Physics,volume 47, no 4, p. 31183122. http://jjap.ipap.jp/link?JJAP/47/3118/. (Citée à lapage 27)

Kanoun, M. (2004) Caractérisations électriques des structures MOS à nanocristaux deGe pour des applications mémoires non-voltiles. Thèse de doctorat, INSA de Lyon.http://docinsa.insa-lyon.fr/these/pont.php?id=kanoun. (Citée aux pages 37 et 79)

Kanoun, M., Baron, T., Gautier, E. et Soui, A. (2006) Charging eects in Ge nanocrystalsembedded in SiO2 matrix for non volatile memory applications. Materials Science andEngineering : C, volume 26, no 2-3, p. 360363. http://dx.doi.org/10.1016/j.msec.2005.10.039. (Citée à la page 79)

Kao, D.-B., McVittie, J., Nix, W. et Saraswat, K. (1987) Two-dimensional thermaloxidation of silicon - i. experiments. IEEE Transactions on Electron Devices, vo-lume 34, no 5, p. 10081017. http://ieeexplore.ieee.org/xpls/abs_all.jsp?isnumber=31977&arnumber=1486748&count=43&index=8. (Citée à la page 59)

139

Page 160: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Kardynal, B. E., Shields, A. J., Beattie, N. S., Farrer, I., Cooper, K. et Ritchie, D. A.(2004) Low-noise photon counting with a radio-frequency quantum-dot eld-eect tran-sistor. Applied Physics Letters, volume 84, no 3, p. 419421. http://link.aip.org/link/?APL/84/419/1. (Citée à la page 42)

Kawamura, K., Kidera, T., Nakajima, A. et Yokoyama, S. (2002) Coulomb blockade eectsand conduction mechanism in extremely thin polycrystalline-silicon wires. Journal ofApplied Physics, volume 91, no 8, p. 52135220. http://link.aip.org/link/?JAP/91/5213/1. (Citée à la page 27)

Khriachtchev, L., Räsänen, M. et Novikov, S. (2006) Continuous-wave laser annealingof free-standing Si/SiO2 superlattice : Modication of optical, structural, and light-emitting properties. Journal of Applied Physics, volume 100, no 5, 053502. http://link.aip.org/link/?JAP/100/053502/1. (Citée à la page 53)

Kim, J., Benson, O., Kan, H. et Yamamoto, Y. (1999) A single-photon turnstile device.Nature, volume 397, no 6719, p. 500503. http://dx.doi.org/10.1038/17295. (Citée àla page 40)

Kim, K. R., Song, K.-W., Kim, D. H., Baek, G., Kim, H. H., Huh, J. I., Lee, J. D.et Park, B.-G. (2004) Analytical modeling of realistic single-electron transistors ba-sed on metal-oxide-semiconductor structure with a unique distribution function in thecoulomb-blockade oscillation region. Japanese Journal of Applied Physics, volume 43,no 4B, p. 20312035. http://jjap.ipap.jp/link?JJAP/43/2031/. (Citée à la page 24)

Klein, D. L., McEuen, P. L., Katari, J. E. B., Roth, R. et Alivisatos, A. P. (1996) Anapproach to electrical studies of single nanocrystals. Applied Physics Letters, volume 68,no 18, p. 25742576. http://link.aip.org/link/?APL/68/2574/1. (Citée à la page 21)

Kobayashi, M., Miyaji, K. et Hiramoto, T. (2008) On the origin of negative dieren-tial conductance in ultranarrow-wire-channel silicon single-electron and single-holetransistors. Japanese Journal of Applied Physics, volume 47, no 3, p. 18131817.http://jjap.ipap.jp/link?JJAP/47/1813/. (Citée à la page 28)

Koch, F., Petrova-Koch, V. et Muschik, T. (1993) The luminescence of porous Si : thecase for the surface state mechanism. Journal of Luminescence, volume 57, no 1-6,p. 271281. http://dx.doi.org/10.1016/0022-2313(93)90145-D. (Citée à la page 40)

Korotkov, A. N. (1999) Single-electron logic and memory devices. International Journalof Electronics, volume 86, no 5, p. 511547. http://www.informaworld.com/10.1080/002072199133256. (Citée à la page 34)

Kouwenhoven, L. P., Jauhar, S., Orenstein, J., McEuen, P. L., Nagamune, Y., Motohisa,J. et Sakaki, H. (1994) Observation of photon-assisted tunneling through a quantumdot. Physical Review Letters, volume 73, no 25, p. 34433446. http://prola.aps.org/abstract/PRL/v73/i25/p3443_1. (Citée à la page 43)

Kovalev, D., Heckler, H., Polisski, G. et Koch, F. (1999) Optical properties of Si nanocrys-tals. physica status solidi (b), volume 215, no 2, p. 871932. http://www3.interscience.wiley.com/journal/66001247/abstract. (Citée à la page 10)

Krishnan, R., Xie, Q., Kulik, J., Wang, X. D., Lu, S., Molinari, M., Gao, Y., Krauss,T. D. et Fauchet, P. M. (2004) Eect of oxidation on charge localization and transportin a single layer of silicon nanocrystals. Journal of Applied Physics, volume 96, no 1,p. 654660. http://link.aip.org/link/?JAP/96/654/1. (Citée à la page 53)

140

Page 161: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Kulik, I. et Shehkter, R. (1975) Kinetic phenomena and charge discreteness eects ingranulated media. Soviet Physics - Journal of Experimental and Theoretical Physics,volume 41, p. 308316. (Citée à la page 10)

Kumbhakar, M., Nath, S., Mukherjee, T., Mittal, J. P. et Pal, H. (2004) Single-moleculedetection in exploring nanoenvironments : an overview. Journal of Photochemistryand Photobiology C : Photochemistry Reviews, volume 5, no 2, p. 113 137. http://dx.doi.org/10.1016/j.jphotochemrev.2004.07.004. (Citée à la page 1)

Kuo, D. M.-T. et Chang, Y.-C. (2005) Tunneling current and emission spectrum of asingle-electron transistor under optical pumping. Physical Review B, volume 72, no 8,p. 085334. http://link.aps.org/abstract/PRB/v72/e085334. (Citée à la page 44)

Kuzmin, L. et Likharev, K. (1987) Direct experimental observation of discrete correlatedsingle-electron tunneling. JETP Letters, volume 45, no 8, p. 495497. (Citée à lapage 19)

Lafarge, P., Pothier, H., Williams, E. R., Esteve, D., Urbina, C. et Devoret, M. H.(1991) Direct observation of macroscopic charge quantization. Zeitschrift für PhysikB Condensed Matter, volume 85, no 3, p. 327332. http://www.springerlink.com/content/x6x61357257n1618/. (Citée à la page 19)

Lambe, J. et Jaklevic, R. C. (1969) Charge-quantization studies using a tunnel capacitor.Physical Review Letters, volume 22, no 25, p. 13711375. http://link.aps.org/abstract/PRL/v22/p1371. (Citée à la page 10)

LaRFIS (septembre 2007) Laboratoire des Revêtements Fonctionnels et Ingénierie desSurfaces. www.polymtl.ca/lars/LaRFIS.htm, page consultée le 29 octobre 2009. (Citéeà la page 77)

Lavallee, E., Beauvais, J., Drouin, D., Cloutier, M., Mun, L., Awad, Y., Smith, H., Lim,M., Carter, J. et Schattenburg, M. (November 2002) Evaporated electron beam sensitiveorganic resist for the back-patterning of X-ray lithography masks. Dans Microprocessesand Nanotechnology Conference 2002. IEEE, p. 320321. http://dx.doi.org/10.1109/IMNC.2002.1178672. (Citée à la page 56)

Ledoux, G., Guillois, O., Porterat, D., Reynaud, C., Huisken, F., Kohn, B. et Paillard,V. (2000) Photoluminescence properties of silicon nanocrystals as a function of theirsize. Physical Review B, volume 62, no 23, p. 1594215951. http://dx.doi.org/10.1016/S0921-5107(99)00235-4. (Citée à la page 54)

Lee, S., Shim, Y. S., Cho, H. Y., Kang, T. W., Kim, D. Y., Lee, Y. H. et Wang, K. L. (2004)Fabrication and characterization of silicon-nanocrystal using platinum-nanomask. ThinSolid Films, volume 451-452, p. 379383. http://dx.doi.org/10.1016/j.tsf.2003.10.132.(Citée à la page 53)

Lee, S.-W., Hirakawa, K. et Shimada, Y. (1999) Bound-to-continuum intersubband pho-toconductivity of self-assembled InAs quantum dots in modulation-doped heterostruc-tures. Applied Physics Letters, volume 75, no 10, p. 14281430. http://link.aip.org/link/?APL/75/1428/1. (Citée à la page 42)

Lee, W., Su, P., Chen, H.-Y., Chang, C.-Y., Su, K.-W., Liu, S. et Yang, F.-L. (2006)An assessment of single-electron eects in multiple-gate SOI MOSFETs with 1.6-nmgate oxide near room temperature. IEEE Electron Device Letters, volume 27, no 3,p. 182184. http://dx.doi.org/10.1109/LED.2006.870240. (Citée à la page 24)

141

Page 162: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Legario, R. R., Kelkar, P. S., Beauvais, J., Lavallee, E., Drouin, D., Cloutier, M., Turcotte,D., Yang, P., Mun, L. K., Awad, Y. et Lafrance, P. J. (2004) Nanopatterning on fragileor 3D surfaces with sterol-based vapor-deposited electron beam resist. Dans Sturtevant,J. L. (réd.), Advances in Resist Technology and Processing XXI, volume 5376. SPIE,p. 903914. http://link.aip.org/link/?PSI/5376/903/1. (Citée à la page 56)

Lenzlinger, M. et Snow, E. H. (1969) Fowler-Nordheim tunneling into thermally grownSiO2. Journal of Applied Physics, volume 40, no 1, p. 278283. http://link.aip.org/link/?JAP/40/278/1. (Citée à la page 92)

Leobandung, E., Guo, L. et Chou, S. Y. (1995a) Single hole quantum dot transistors insilicon. Applied Physics Letters, volume 67, no 16, p. 23382340. http://link.aip.org/link/?APL/67/2338/1. (Citée à la page 27)

Leobandung, E., Guo, L., Wang, Y. et Chou, S. Y. (1995b) Observation of quantum eectsand Coulomb blockade in silicon quantum-dot transistors at temperatures over 100 K.Applied Physics Letters, volume 67, no 7, p. 938940. http://link.aip.org/link/?APL/67/938/1. (Citée à la page 27)

Levoska, J., Tyunina, M. et Leppävuori, S. (1999) Laser ablation deposition of siliconnanostructures. Nanostructured Materials, volume 12, no 1-4, p. 101106. http://dx.doi.org/10.1016/S0965-9773(99)00074-4. (Citée à la page 54)

Lim, D., Ahn, J., Park, H. et Shin, J. (2005) The eect of CeO2 abrasive size on dishingand step height reduction of silicon oxide lm in STI-CMP. Surface and CoatingsTechnology, volume 200, no 5-6, p. 17511754. http://dx.doi.org/10.1016/j.surfcoat.2005.08.047. (Citée à la page 60)

Lin, H.-Y., Tsai, L.-C. et Chen, C.-D. (2007) Assembly of nanoparticle patterns withsingle-particle resolution using DNA-mediated charge trapping technique : Method andapplications. Advanced Functional Materials, volume 17, no 16, p. 31823186. http://dx.doi.org/10.1002/adfm.200601180. (Citée à la page 22)

Liu, H. C., Landheer, D., Buchanan, M. et Houghton, D. C. (1988) Resonant tunnelingin Si/Si1−xGex double-barrier structures. Applied Physics Letters, volume 52, no 21,p. 18091811. http://link.aip.org/link/?APL/52/1809/1. (Citée à la page 39)

Liu, H. I., Biegelsen, D. K., Ponce, F. A., Johnson, N. M. et Pease, R. F. W. (1994) Self-limiting oxidation for fabricating sub-5 nm silicon nanowires. Applied Physics Letters,volume 64, no 11, p. 13831385. http://link.aip.org/link/?APL/64/1383/1. (Citée à lapage 59)

Liu, X., H., Phang, J. C., H., Chan, D. S. et K., Chim, W. (1999) The properties of 2.7eV cathodoluminescence from SiO2 lm on Si substrate. Journal of Physics D : AppliedPhysics, volume 32, no 14, p. 15631569. http://dx.doi.org/10.1088/0022-3727/32/14/302. (Citée à la page 88)

Lopez-Estopier, R., Aceves-Mijares, M., Yu, Z. et Falcony, C. (September 2007) Catho-doluminescence of silicon rich oxide with nitrogen incorporated. Dans 4th Interna-tional Conference on Electrical and Electronics Engineering 2007. IEEE, p. 341344.http://ieeexplore.ieee.org/search/wrapper.jsp?arnumber=4345037. (Citée à la page 88)

Ma, Z., Liao, X., Kong, G. et Chu, J. (1999) Absorption spectra of nanocrystalline siliconembedded in SiO2 matrix. Applied Physics Letters, volume 75, no 13, p. 18571859.http://link.aip.org/link/?APL/75/1857/1. (Citée à la page 42)

142

Page 163: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Mahapatra, S. (2005) Hybrid CMOS-SET devices and circuits : modeling, simulation anddesign. Thèse de doctorat, Ecole Polytechnique Fédérale de Lausanne. http://library.ep.ch/theses/?nr=3190. (Citée à la page 34)

Marcus, R. B. et Sheng, T. T. (1982) The oxidation of shaped silicon surfaces. Journal ofThe Electrochemical Society, volume 129, no 6, p. 12781282. http://link.aip.org/link/?JES/129/1278/1. (Citée à la page 59)

Maserjian, J., Petersson, G. et Svensson, C. (1974) Saturation capacitance of thin oxideMOS structures and the eective surface density of states of silicon. Solid-State Electro-nics, volume 17, no 4, p. 335 339. http://dx.doi.org/10.1016/0038-1101(74)90125-7.(Citée à la page 99)

Mathieu, H. (1998) Physique des semiconducteurs et des composants électroniques, 3eédition. Masson, Paris. (Citée à la page 8)

Matsumoto, K. (1997) STM/AFM nano-oxidation process to room-temperature-operatedsingle-electron transistor and other devices. Proceedings of the IEEE, volume 85, no 4,p. 612628. http://dx.doi.org/10.1109/5.573745. (Citée aux pages 20 et 21)

Matsumoto, K., Ishii, M., Segawa, K., Oka, Y., Vartanian, B. J. et Harris, J. S. (1996)Room temperature operation of a single electron transistor made by the scanning tunne-ling microscope nanooxidation process for the TiOx/Ti system. Applied Physics Letters,volume 68, no 1, p. 3436. http://link.aip.org/link/?APL/68/34/1. (Citée aux pages 20et 21)

Matsumoto, K., Takahashi, S., Ishii, M., Hoshi, M., Kurokawa, A., Ichimura, S. et Ando,A. (1995) Application of STM nanometer-size oxidation process to planar-type MIMdiode. Japanese Journal of Applied Physics, volume 34, no Part 1, No. 2B, p. 13871390.http://jjap.ipap.jp/link?JJAP/34/1387/. (Citée à la page 20)

Matsumoto, T., Suzuki, J.-i., Ohnuma, M., Kanemitsu, Y. et Masumoto, Y. (2001) Evi-dence of quantum size eect in nanocrystalline silicon by optical absorption. PhysicalReview B, volume 63, no 19, p. 195322. http://prola.aps.org/abstract/PRB/v63/i19/e195322. (Citée à la page 42)

Mazen, F., Mollard, L., Baron, T., Decossas, S. et Hartmann, J. M. (2004) Preferential nu-cleation of silicon nano-crystals on electron beam exposed SiO2 surfaces. MicroelectronicEngineering, volume 73-74, p. 632638. http://dx.doi.org/10.1016/j.mee.2004.03.005.(Citée à la page 31)

Mchedlidze, T., Arguirov, T., Kouteva-Arguirova, S., Kittler, M., Rölver, R., Bergho, B.,Bätzner, D. L. et Spangenberg, B. (2008) Light-induced solid-to-solid phase transforma-tion in Si nanolayers of Si-SiO2 multiple quantum wells. Physical Review B, volume 77,no 16, 161304. http://link.aps.org/abstract/PRB/v77/e161304. (Citée à la page 53)

Mejias, M., Lebreton, C., Vieu, C., Pépin, A., Carcenac, F., Launois, H. et Boero, M.(1998) Fabrication of Coulomb blockade devices by combination of high resolution elec-tron beam lithography and deposition of granular lms. Microelectronic Engineering,volume 41-42, p. 563566. http://dx.doi.org/10.1016/S0167-9317(98)00132-4. (Citée àla page 21)

MicroChem (2009) SU-8 photoresist product line. Dans MicroChem, MicroChem Cor-poration. www.microchem.com/products/su_eight.htm, page consultée le 29 octobre2009. (Citée aux pages 61 et 72)

143

Page 164: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Molas, G., Jehl, X., Sanquer, M., Barbara, D. S., Lafond, D. et Deleonibus, S. (May2005) Manipulation of periodic Coulomb blockade oscillations in ultra-scaled memoriesby single electron charging of silicon nanocrystal oating gates. IEEE Transactions onNanotechnology, volume 4, no 3, p. 374379. http://dx.doi.org/10.1109/TNANO.2005.846898. (Citée à la page 38)

Monfray, S., Soui, A., Boeuf, F., Ortolland, C., Poncet, A., Militaru, L., Chanemougame,D. et Skotnicki, T. (2003) Coulomb-blockade in nanometric Si-lm silicon-on-nothing(SON) MOSFETs. IEEE Transactions on Nanotechnology, volume 2, no 4, p. 295300.http://dx.doi.org/10.1109/TNANO.2003.820778. (Citée à la page 24)

Mott, N. et Davis, E. (1979) Electronic Process in Non-Crystalline Materials, 2e édition.Clarendon Press, Oxford. (Citée à la page 96)

Mott, N. et Gurnay, R. (1948) Electronic processes in ionic crystals, 2e édition. ClarendonPress, Oxford. (Citée à la page 94)

Nabity, J. (octobre 2009) Nanometer Pattern Generation System. www.jcnabity.com,page consultée le 29 octobre 2009. (Citée à la page 55)

Nabity, J. C. et Wybourne, M. N. (1989) A versatile pattern generator for high-resolutionelectron-beam lithography. Review of Scientic Instruments, volume 60, no 1, p. 2732.http://link.aip.org/link/?RSI/60/27/1. (Citée à la page 55)

Nagase, M., Horiguchi, S., Fujiwara, A., Ono, Y., Yamazaki, K., Namatsu, H. et Takahashi,Y. (2002) Single-electron devices formed by pattern-dependent oxidation : microscopicstructural evaluation. Applied Surface Science, volume 190, no 1-4, p. 144150. http://dx.doi.org/10.1016/S0169-4332(01)00874-1. (Citée à la page 26)

Nakajima, A., Aoyama, H. et Kawamura, K. (1994) Isolated nanometer-size Si dot arraysfabricated using electron-beam lithography, reactive ion etching, and wet etching inNH4OH/H2O2/H2O. Japanese Journal of Applied Physics, volume 33, no Part 2, No.12B, p. L1796L1798. http://jjap.ipap.jp/link?JJAP/33/L1796/. (Citée à la page 56)

Nakajima, A., Fujiaki, T. et Fukuda, Y. (2008) Dependence of charge storage and program-ming characteristics on dot number of oating dot memory. Applied Physics Letters,volume 92, no 22, 223503. http://link.aip.org/link/?APL/92/223503/1. (Citée à lapage 35)

Nakajima, A., Futatsugi, T., Kosemura, K., Fukano, T. et Yokoyama, N. (1997) Roomtemperature operation of Si single-electron memory with self-aligned oating dot gate.Applied Physics Letters, volume 70, no 13, p. 17421744. http://link.aip.org/link/?APL/70/1742/1. (Citée à la page 35)

Nakajima, A., Sugita, Y., Kawamura, K., Tomita, H. et Yokoyama, N. (1996a) Microstruc-ture and optical absorption properties of Si nanocrystals fabricated with low-pressurechemical-vapor deposition. Journal of Applied Physics, volume 80, no 7, p. 40064011.http://link.aip.org/link/?JAP/80/4006/1. (Citée à la page 42)

Nakajima, A., Sugita, Y., Kawamura, K., Tomita, H. et Yokoyama, N. (1996b) Si quantumdot formation with low-pressure chemical vapor deposition. Japanese Journal of AppliedPhysics, volume 35, no Part 2, No. 2B, p. L189L191. http://jjap.ipap.jp/link?JJAP/35/L189/. (Citée à la page 31)

144

Page 165: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Nakamura, Y., Chen, C. et Tsai, J. (1996) 100-K operation of Al-based single-electrontransistors. Japanese Journal of Applied Physics, volume 35, no Part 2, No. 11A,p. 1465 1467. http://jjap.ipap.jp/link?JJAP/35/L1465/. (Citée à la page 20)

Nesbit, L. A. (1985) Annealing characteristics of Si-rich SiO2 lms. Applied PhysicsLetters, volume 46, no 1, p. 3840. http://link.aip.org/link/?APL/46/38/1. (Citée àla page 51)

Neugebauer, C. A. et Webb, M. B. (1962) Electrical conduction mechanism in ultrathin,evaporated metal lms. Journal of Applied Physics, volume 33, no 1, p. 7482. http://link.aip.org/link/?JAP/33/74/1. (Citée à la page 10)

Ng, C., Chen, T., Ding, L. et Fung, S. (2006) Memory characteristics of MOSFETs withdensely stacked silicon nanocrystal layers in the gate oxide synthesized by low-energyion beam. IEEE Electron Device Letters, volume 27, no 4, p. 231233. http://dx.doi.org/10.1109/LED.2006.871183. (Citée à la page 37)

Ng, T. N., Daniel, J. H., Sambandan, S., Arias, A.-C., Chabinyc, M. L. et Street, R. A.(2008) Gate bias stress eects due to polymer gate dielectrics in organic thin-lm tran-sistors. Journal of Applied Physics, volume 103, no 4, 044506. http://link.aip.org/link/?JAPIAU/103/044506/1. (Citée aux pages 60 et 74)

Nicollian, E. et Brews, J. (1982) MOS (Metal Oxyde Semiconductor) Physics and Tech-nology. Wiley, New-York. (Citée à la page 91)

Normand, P., Beltsios, K., Kapetanakis, E., Tsoukalas, D., Travlos, T., Stoemenos, J.,Berg, J. V. D., Zhang, S., Vieu, C., Launois, H., Gautier, J., Jourdan, F. et Palun, L.(2001a) Formation of 2-D arrays of semiconductor nanocrystals or semiconductor-richnanolayers by very low-energy Si or Ge ion implantation in silicon oxide lms. NuclearInstruments and Methods in Physics Research Section B, volume 178, no 1-4, p. 7477.http://dx.doi.org/10.1016/S0168-583X(01)00495-5. (Citée aux pages 49 et 50)

Normand, P., Kapetanakis, E., Tsoukalas, D., Kamoulakos, G., Beltsios, K., Berg, J.V. D. et Zhang, S. (2001b) MOS memory devices based on silicon nanocrystal arraysfabricated by very low energy ion implantation. Materials Science and Engineering :C, volume 15, no 1-2, p. 145147. http://dx.doi.org/10.1016/S0928-4931(01)00251-X.(Citée à la page 37)

Nuryadi, R., Ikeda, H., Ishikawa, Y. et Tabe, M. (2003) Ambipolar coulomb blockade cha-racteristics in a two-dimensional Si multidot device. IEEE Transactions on Nanotech-nology, volume 2, no 4, p. 231235. http://dx.doi.org/10.1109/TNANO.2003.820788.(Citée aux pages 29 et 30)

Nuryadi, R., Ishikawa, Y. et Tabe, M. (2006) Single-photon-induced random telegraph si-gnal in a two-dimensional multiple-tunnel-junction array. Physical Review B, volume 73,no 4, p. 045310. http://link.aps.org/abstract/PRB/v73/e045310. (Citée aux pages 44et 45)

Oda, S. et Mizuta, H. (2006) Nanocrystalline silicon quantum dot devices. ECS Transac-tions, volume 2, no 1, p. 147155. http://link.aip.org/link/abstract/ECSTF8/v2/i1/p147/s1. (Citée à la page 54)

Oda, S. et Nishiguchi, K. (2001) Nanocrystalline silicon quantum dots prepared by VHFplasma enhanced chemical vapor deposition. Le Journal de Physique IV, volume 11,p. 10651071. http://dx.doi.org/doi/10.1051/jp4:20013133. (Citée à la page 54)

145

Page 166: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Ohba, R., Sugiyama, N., Uchida, K., Koga, J. et Toriumi, A. (2000) Non-volatile Siquantum memory with self-aligned doubly-stacked dots. Dans International ElectronDevices Meeting Technical Digest 2000. IEEE, p. 313316. http://dx.doi.org/10.1109/IEDM.2000.904319. (Citée à la page 37)

Ohba, R., Sugiyama, N., Uchida, K., Koga, J. et Toriumi, A. (2002) Nonvolatile Si quan-tum memory with self-aligned doubly-stacked dots. IEEE Transactions on ElectronDevices, volume 49, no 8, p. 13921398. http://dx.doi.org/10.1109/TED.2002.801296.(Citée à la page 37)

Omachi, J., Nakamura, R., Nishiguchi, K. et Oda, S. (2001) Retardation in the oxidationrate of nanocrystalline silicon quantum dots. DansMicrocrystalline and NanocrystallineSemiconductors, volume 638. MRS, p. F531F536. (Citée à la page 60)

Ono, Y. et Takahashi, Y. (2002) Observation and circuit application of negative dierentialconductance in silicon single-electron transistors. Japanese Journal of Applied Physics,volume 41, no Part 1, No. 4B, p. 25692573. http://jjap.ipap.jp/link?JJAP/41/2569/.(Citée à la page 28)

Ono, Y., Takahashi, Y., Yamazaki, K., Nagase, M., Namatsu, H., Kurihara, K. et Mu-rase, K. (1999) Si complementary single-electron inverter. Dans International ElectronDevices Meeting Technical Digest 1999. IEEE, p. 367370. http://dx.doi.org/10.1109/IEDM.1999.824171. (Citée à la page 34)

Ono, Y., Takahashi, Y., Yamazaki, K., Nagase, M., Namatsu, H., Kurihara, K. et Murase,K. (2000a) Fabrication method for IC-oriented Si single-electron transistors. IEEETransactions on Electron Devices, volume 47, no 1, p. 147153. http://dx.doi.org/10.1109/16.817580. (Citée aux pages 26 et 27)

Ono, Y., Takahashi, Y., Yamazaki, K., Nagase, M., Namatsu, H., Kurihara, K. et Murase,K. (2000b) Single-electron transistor and current-switching device fabricated by verticalpattern-dependent oxidation. Japanese Journal of Applied Physics, volume 39, no Part1, No. 4B, p. 2325 2328. http://jjap.ipap.jp/link?JJAP/39/2325/. (Citée à la page 26)

Orii, T., Hirasawa, M. et Seto, T. (2003) Tunable, narrow-band light emission from size-selected Si nanoparticles produced by pulsed-laser ablation. Applied Physics Letters,volume 83, no 16, p. 33953397. http://link.aip.org/link/?APL/83/3395/1. (Citée à lapage 54)

Ostraat, M. L., Blauwe, J. W. D., Green, M. L., Bell, L. D., Atwater, H. A. et Flagan,R. C. (2001) Ultraclean two-stage aerosol reactor for production of oxide-passivatedsilicon nanoparticles for novel memory devices. Journal of The Electrochemical Society,volume 148, no 5, p. G265G270. http://link.aip.org/link/?JES/148/G265/1. (Citée àla page 54)

Pain, L., Tedesco, S. et Constancias, C. (2006) Direct write lithography : the globalsolution for R&D and manufacturing. Comptes Rendus Physique, volume 7, no 8,p. 910923. http://dx.doi.org/10.1016/j.crhy.2006.10.003. (Citée à la page 55)

Palun, L. (2000) Etude prospective sur les dispositifs silicium à blocage de Coulomb dansune perspective d'application à la microélectronique. Thèse de doctorat, UniversitéJoseph Fourier-Grenoble I. (Citée à la page 9)

Pananakakis, G., Ghibaudo, G., Kies, R. et Papadas, C. (1995) Temperature dependenceof the Fowler-Nordheim current in metal-oxide-degenerate semiconductor structures.

146

Page 167: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Journal of Applied Physics, volume 78, no 4, p. 26352641. http://link.aip.org/link/?JAP/78/2635/1. (Citée à la page 93)

Parish, C. M. et Russell, P. E. (2007) Scanning cathodoluminescence microscopy. DansHawkes, P. W. (réd.), Advances in Imaging and Electron Physics, volume 147. Elsevier,Amsterdam, p. 1135. http://dx.doi.org/10.1016/S1076-5670(07)47001-X. (Citée à lapage 87)

Patrone, L., Nelson, D., Safarov, V. I., Sentis, M., Marine, W. et Giorgio, S. (2000)Photoluminescence of silicon nanoclusters with reduced size dispersion produced bylaser ablation. Journal of Applied Physics, volume 87, no 8, p. 38293837. http://link.aip.org/link/?JAP/87/3829/1. (Citée à la page 54)

Peters, M. G., den Hartog, S. G., Dijkhuis, J. I., Buyk, O. J. A. et Molenkamp, L. W.(1998) Single electron tunneling and suppression of short-channel eects in submicronsilicon transistors. Journal of Applied Physics, volume 84, no 9, p. 50525056. http://link.aip.org/link/?JAP/84/5052/1. (Citée à la page 24)

Petrova-Koch, V., Muschik, T., Kux, A., Meyer, B. K., Koch, F. et Lehmann, V. (1992)Rapid-thermal-oxidized porous Si - the superior photoluminescent Si. Applied PhysicsLetters, volume 61, no 8, p. 943945. http://link.aip.org/link/?APL/61/943/1. (Citéeà la page 40)

Plummer, J. D., Deal, M. D. et Grin, P. B. (2000) Silicon VLSI Technology : Funda-mentals, Practice and Modeling. Prentice Hall. (Citée à la page 54)

Pooley, D. M., Ahmed, H. et Lloyd, N. S. (1999a) Fabrication and electron transport inmultilayer silicon-insulator-silicon nanopillars. Journal of Vacuum Science and Tech-nology B, volume 17, no 6, p. 32353238. http://link.aip.org/link/?JVB/17/3235/1.(Citée à la page 60)

Pooley, D. M., Ahmed, H., Mizuta, H. et Nakazato, K. (1999b) Coulomb blockade insilicon nano-pillars. Applied Physics Letters, volume 74, no 15, p. 21912193. http://link.aip.org/link/?APL/74/2191/1. (Citée à la page 32)

Pooley, D. M., Ahmed, H., Mizuta, H. et Nakazato, K. (2001) Single-electron chargingphenomena in silicon nanopillars with and without silicon nitride tunnel barriers. Jour-nal of Applied Physics, volume 90, no 9, p. 47724776. http://link.aip.org/link/?JAP/90/4772/1. (Citée à la page 32)

Pépin, A., Vieu, C., Mejias, M., Jin, Y., Carcenac, F., Gierak, J., David, C., Couraud,L., Launois, H., Cordan, A. S., Leroy, Y. et Goltzené, A. (1999) Temperature evolutionof multiple tunnel junction devices made with disordered two-dimensional arrays ofmetallic islands. Applied Physics Letters, volume 74, no 20, p. 30473049. http://link.aip.org/link/?APL/74/3047/1. (Citée à la page 21)

Prokes, S. M. (1993) Light emission in thermally oxidized porous silicon : Evidence foroxide-related luminescence. Applied Physics Letters, volume 62, no 25, p. 32443246.http://link.aip.org/link/?APL/62/3244/1. (Citée à la page 40)

Prokes, S. M., Glembocki, O. J., Bermudez, V. M., Kaplan, R., Friedersdorf, L. E.et Searson, P. C. (1992) SiHx excitation : An alternate mechanism for porous Siphotoluminescence. Physical Review B, volume 45, no 23, p. 1378813791. http://prola.aps.org/abstract/PRB/v45/i23/p13788_1. (Citée à la page 40)

147

Page 168: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Proot, J. P., Delerue, C. et Allan, G. (1992) Electronic structure and optical properties ofsilicon crystallites : Application to porous silicon. Applied Physics Letters, volume 61,no 16, p. 19481950. http://link.aip.org/link/?APL/61/1948/1. (Citée à la page 9)

Qin, G. G. et Jia, Y. Q. (1993) Mechanism of the visible luminescence in porous silicon.Solid State Communications, volume 86, no 9, p. 559563. http://dx.doi.org/10.1016/0038-1098(93)90139-E. (Citée à la page 40)

Rückschloss, M., Landkammer, B. et Vep°ek, S. (1993) Light emitting nanocrystallinesilicon prepared by dry processing : The eect of crystallite size. Applied PhysicsLetters, volume 63, no 11, p. 14741476. http://link.aip.org/link/?APL/63/1474/1.(Citée à la page 40)

Reed, M., Randall, J., Aggarwal, R., Matyi, R., Moore, T. et Wetsel, A. (1988) Observationof discrete electronic states in a zero-dimensional semiconductor nanostructure. PhysicalReview Letters, volume 60, no 6, p. 535537. http://link.aps.org/abstract/PRL/v60/p535. (Citée à la page 60)

Riabinina, D., Durand, C., Chaker, M. et Rosei, F. (2006) Photoluminescent silicon na-nocrystals synthesized by reactive laser ablation. Applied Physics Letters, volume 88,no 7, 073105. http://link.aip.org/link/?APL/88/073105/1. (Citée à la page 54)

Rose, A. (1955) Space-charge-limited currents in solids. Physical Review, volume 97, no 6,p. 15381544. http://prola.aps.org/abstract/PR/v97/i6/p1538_1. (Citée à la page 95)

Sacilotti, M., Champagnon, B., Abraham, P., Monteil, Y. et Bouix, J. (1993) Propertiesof type ii interfaces in semiconductor heterojunctions, application to porous silicon.Journal of Luminescence, volume 57, no 1-6, p. 3337. http://dx.doi.org/10.1016/0022-2313(93)90102-S. (Citée à la page 40)

Sagnes, I., Halimaoui, A., Vincent, G. et Badoz, P. A. (1993) Optical absorption evidenceof a quantum size eect in porous silicon. Applied Physics Letters, volume 62, no 10,p. 11551157. http://link.aip.org/link/?APL/62/1155/1. (Citée à la page 42)

Salh, R., von Czarnowski, A. et Fitting, H.-J. (2007) Cathodoluminescence of non-stoichiometric silica : The role of oxygen. Journal of Non-Crystalline Solids, volume 353,no 5-7, p. 546549. http://dx.doi.org/10.1016/j.jnoncrysol.2006.10.048. (Citée à lapage 89)

Salh, R., von Czarnowski, A., Zamoryanskaya, M. V., Kolesnikova, E. V. et Fitting, H.-J.(2006) Cathodoluminescence of SiOx under-stoichiometric silica layers. physica sta-tus solidi (a), volume 203, no 8, p. 20492057. http://www3.interscience.wiley.com/journal/112587951/abstract. (Citée à la page 89)

Sato, T., Ahmed, H., Brown, D. et Johnson, B. F. G. (1997) Single electron transistorusing a molecularly linked gold colloidal particle chain. Journal of Applied Physics,volume 82, no 2, p. 696701. http://link.aip.org/link/?JAP/82/696/1. (Citée à lapage 22)

Sato, T., Yamaguchi, T., Izumida, W., Tarucha, S., Song, H. Z., Miyazawa, T., Nakata, Y.,Ohshima, T. et Yokoyama, N. (2004) Single-electron transport through an individualInAs SAQD embedded in a graded-dope semiconductor nano-pillar. Physica E : Low-dimensional Systems and Nanostructures, volume 21, no 2-4, p. 506510. http://dx.doi.org/10.1016/j.physe.2003.11.070. (Citée à la page 60)

148

Page 169: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Saunders, W. A., Sercel, P. C., Lee, R. B., Atwater, H. A., Vahala, K. J., Flagan, R. C.et Escorcia-Aparcio, E. J. (1993) Synthesis of luminescent silicon clusters by sparkablation. Applied Physics Letters, volume 63, no 11, p. 15491551. http://link.aip.org/link/?APL/63/1549/1. (Citée à la page 53)

Scheer, K. C., Rao, R. A., Muralidhar, R., Bagchi, S., Conner, J., Lozano, L., Perez,C., Sadd, M. et B. E. White, J. (2003) Thermal oxidation of silicon nanocrystals inO2 and NO ambient. Journal of Applied Physics, volume 93, no 9, p. 56375642.http://link.aip.org/link/?JAP/93/5637/1. (Citée aux pages 52 et 60)

Schmidt, B. (2007) Nanostructures by ion beams. Radiation Eects and Defects in Solids,volume 162, no 3-4, p. 171184. http://dx.doi.org/10.1080/10420150601132743. (Citéeà la page 50)

Schuegraf, K., King, C. et Hu, C. (June 1992) Ultra-thin silicon dioxide leakage currentand scaling limit. Dans Symposium on VLSI Technology, Digest of Technical Papers.IEEE, p. 1819. http://ieeexplore.ieee.org/xpls/abs_all.jsp?arnumber=200622. (Citéeà la page 93)

Scott-Thomas, J. H. F., Field, S. B., Kastner, M. A., Smith, H. I. et Antoniadis, D. A.(1989) Conductance oscillations periodic in the density of a one-dimensional electrongas. Physical Review Letters, volume 62, no 5, p. 583586. http://link.aps.org/abstract/PRL/v62/p583. (Citée à la page 19)

Sée, J. (2003) Théorie du blocage de Coulomb appliquée aux nanostructures semi-conductrices : modélisation des dispositifs à nanocristaux de silicium. Thèsede doctorat, Université Paris XI. http://tel.archives-ouvertes.fr/index.php?halsid=j4a291omarts2kn88hutnkano3&view_this_doc=tel-00004143&version=2. (Citée à lapage 9)

Segui, Y. (Mai 2000) Diélectriques. Rapport technique, Techniques de l'Ingénieur. http://www.techniques-ingenieur.fr/book/d2301/dielectriques.html. (Citée à la page 95)

Seifarth, H., Grotzschel, R., Markwitz, A., Matz, W., Nitzsche, P. et Rebohle, L. (1998)Preparation of SiO2 lms with embedded Si nanocrystals by reactive r.f. magnetronsputtering. Thin Solid Films, volume 330, no 2, p. 202205. http://dx.doi.org/10.1016/S0040-6090(98)00609-9. (Citée à la page 53)

Sergienko, A. V. (2008) Quantum optics : Beyond single-photon counting. Nature Photo-nics, volume 2, no 5, p. 268269. http://dx.doi.org/10.1038/nphoton.2008.59. (Citée àla page 1)

Shalchian, M., Grisolia, J., Ben Assayag, G., Con, H., Atarodi, S. et Claverie, A. (2005)From continuous to quantized charging response of silicon nanocrystals obtained byultra-low energy ion implantation. Solid-State Electronics, volume 49, no 7, p. 11981205. http://dx.doi.org/10.1016/j.sse.2005.04.009. (Citée à la page 60)

Shields, A. J., O'Sullivan, M. P., Farrer, I., Ritchie, D. A., Cooper, K., Foden, C. L. etPepper, M. (1999) Optically induced bistability in the mobility of a two-dimensionalelectron gas coupled to a layer of quantum dots. Applied Physics Letters, volume 74,no 5, p. 735737. http://link.aip.org/link/?APL/74/735/1. (Citée à la page 42)

Shields, A. J., O'Sullivan, M. P., Farrer, I., Ritchie, D. A., Hogg, R. A., Leadbeater,M. L., Norman, C. E. et Pepper, M. (2000) Detection of single photons using a eld-eect transistor gated by a layer of quantum dots. Applied Physics Letters, volume 76,no 25, p. 36733675. http://link.aip.org/link/?APL/76/3673/1. (Citée à la page 42)

149

Page 170: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Shimizu-Iwayama, T., Kurumado, N., Hole, D. E. et Townsend, P. D. (1998) Opticalproperties of silicon nanoclusters fabricated by ion implantation. Journal of AppliedPhysics, volume 83, no 11, p. 60186022. http://link.aip.org/link/?JAP/83/6018/1.(Citée à la page 49)

Shirakashi, J., Matsumoto, K., Miura, N. et Konagai, M. (1997) Single-electron transistors(SETs) with Nb/Nb oxide system fabricated by atomic force microscope (AFM) nano-oxidation process. Japanese Journal of Applied Physics, volume 36, no Part 2, No.9A/B, p. 12571260. http://jjap.ipap.jp/link?JJAP/36/L1257/. (Citée à la page 21)

Shirakashi, J.-i., Ishii, M., Matsumoto, K., Miura, N. et Konagai, M. (1996) Surface mo-dication of niobium (Nb) by atomic force microscope (AFM) nano-oxidation pro-cess. Japanese Journal of Applied Physics, volume 35, no Part 2, 11B, p. 15241527.http://jjap.ipap.jp/link?JJAP/35/L1524/. (Citée à la page 20)

Shirakashi, J.-I. et Takemura, Y. (2004) Ferromagnetic ultra-small tunnel junction devicesfabricated by scanning probe microscope (SPM) local oxidation. IEEE Transactionson Magnetics, volume 40, no 4, p. 26402642. http://dx.doi.org/10.1109/TMAG.2004.829166. (Citée à la page 21)

Small, M. et Pearson, D. (1990) On-chip wiring for VLSI. status and directions. IBMJournal of Research and Development, volume 34, no 6, p. 858867. (Citée à la page 60)

Smet, J. H., Broekaert, T. P. E. et Fonstad, C. G. (1992) Peak-to-valley current ratios ashigh as 50 :1 at room temperature in pseudomorphic In0.53Ga0.47As/AlAs/InAs resonanttunneling diodes. Journal of Applied Physics, volume 71, no 5, p. 24752477. http://link.aip.org/link/?JAP/71/2475/1. (Citée à la page 39)

Snow, E. S., Park, D. et Campbell, P. M. (1996) Single-atom point contact devices fa-bricated with an atomic force microscope. Applied Physics Letters, volume 69, no 2,p. 269271. http://link.aip.org/link/?APL/69/269/1. (Citée à la page 20)

SOPRA (2009) N & K database. Dans SOPRA, SOPRA. www.sopra-sa.com, page consul-tée le 29 octobre 2009. (Citée à la page 77)

Specht, M., Sanquer, M., Caillat, C., Guegan, G. et Deleonibus, S. (1999) Coulomb os-cillations in 100 nm and 50 nm CMOS devices. Dans International Electron DevicesMeeting Technical Digest 1999. IEEE, p. 383385. http://dx.doi.org/10.1109/IEDM.1999.824175. (Citée à la page 24)

SSpectra (2009) Software Spectra, Inc. www.sspectra.com, page consultée le 29 octobre2009. (Citée à la page 77)

Stone, N. et Ahmed, H. (1999) Logic circuit elements using single-electron tunnellingtransistors. Electronics Letters, volume 35, no 21, p. 18831884. http://dx.doi.org/10.1049/el:19991231. (Citée à la page 34)

Sublemontier, O., Lacour, F., Leconte, Y., Herlin-Boime, N. et Reynaud, C. (2008) CO2

laser-driven pyrolysis synthesis of silicon nanocrystals and applications. Journal ofAlloys and Compounds, volume 483, no 1-2, p. 499502. http://dx.doi.org/10.1016/j.jallcom.2008.07.233. (Citée à la page 54)

Suda, Y. et Koyama, H. (2001) Electron resonant tunneling with a high peak-to-valleyratio at room temperature in Si1−xGex/Si triple barrier diodes. Applied Physics Letters,volume 79, no 14, p. 22732275. http://link.aip.org/link/?APL/79/2273/1. (Citée à lapage 39)

150

Page 171: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Sugimura, H., Uchida, T., Kitamura, N. et Masuhara, H. (1993) Nanofabrication of ti-tanium surface by tip-induced anodization in scanning tunneling microscopy. Japa-nese Journal of Applied Physics, volume 32, no Part 2, No. 4A, p. 553555. http://jjap.ipap.jp/link?JJAP/32/L553/. (Citée à la page 20)

Sugiyama, Y., Inata, T., Muto, S., Nakata, Y. et Hiyamizu, S. (1988) Current-voltage cha-racteristics of In0.53Ga0.47As/In0.52Al0.48As resonant tunneling barrier structures grownby molecular beam epitaxy. Applied Physics Letters, volume 52, no 4, p. 314316.http://link.aip.org/link/?APL/52/314/1. (Citée à la page 39)

Suzuki, E., Schroder, D. K. et Hayashi, Y. (1986) Carrier conduction in ultrathin nitridedoxide lms. Journal of Applied Physics, volume 60, no 10, p. 36163621. http://link.aip.org/link/?JAP/60/3616/1. (Citée à la page 97)

Suzuki, T., Sakai, T., Zhang, L. et Nishiyama, Y. (1995) Evidence for cathodoluminescencefrom SiOx in porous Si. Applied Physics Letters, volume 66, no 2, p. 215217. http://link.aip.org/link/?APL/66/215/1. (Citée aux pages 88 et 89)

Synopsys (2009) Synopsys. www.synopsys.com, page consultée le 29 octobre 2009. (Citéeà la page 98)

Sze, S. M. (1981) Physics of Semiconductor Devices, 2e édition. Wiley, New-York. (Citéeà la page 91)

Takahashi, H., Nishimura, T., Hashimoto, Y., Takahashi, S. et Kuwano, H. (2000a) Originof infrared photoluminescence of nanocrystalline Si in SiO2 lms. Japanese Journal ofApplied Physics, volume 39, no Part 1, No. 6A, p. 34743477. http://jjap.ipap.jp/link?JJAP/39/3474/. (Citée à la page 88)

Takahashi, N., Ishikuro, H. et Hiramoto, T. (2000b) Control of Coulomb blockade oscilla-tions in silicon single electron transistors using silicon nanocrystal oating gates. AppliedPhysics Letters, volume 76, no 2, p. 209211. http://link.aip.org/link/?APL/76/209/1.(Citée à la page 38)

Takahashi, Y., Fujiwara, A., Yamazaki, K., Namatsu, H., Kurihara, K. et Murase, K. (De-cember 1998) A multi-gate single-electron transistor and its application to an exclusive-OR gate. Dans International Electron Devices Meeting Technical Digest 1998. IEEE,p. 127130. http://dx.doi.org/10.1109/IEDM.1998.746296. (Citée à la page 34)

Takahashi, Y., Nagase, M., Namatsu, H., Kurihara, K., Iwdate, K., Nakajima, Y., Hori-guchi, S., Murase, K. et Tabe, M. (1995) Fabrication technique for Si single-electrontransistor operating at room temperature. Electronics Letters, volume 31, no 2, p. 136137. http://dx.doi.org/10.1049/el:19950082. (Citée à la page 25)

Takahashi, Y., Namatsu, H., Kurihara, K., Iwadate, K., Nagase, M. et Murase, K. (1996)Size dependence of the characteristics of Si single-electron transistors on SIMOX sub-strates. IEEE Transactions on Electron Devices, volume 43, no 8, p. 12131217.http://dx.doi.org/10.1109/16.506771. (Citée à la page 26)

Takemura, Y., Kidaka, S., Watanabe, K., Nasu, Y., Yamada, T. et ichi Shirakashi, J.(2003) Applied voltage dependence of nano-oxidation of ferromagnetic thin lms usingatomic force microscope. Journal of Applied Physics, volume 93, no 10, p. 73467348.http://link.aip.org/link/?JAP/93/7346/1. (Citée à la page 20)

151

Page 172: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Talyanskii, V. I., Stotz, J. A. H. et Santos, P. V. (2007) An acoustoelectric single photondetector. Semiconductor Science and Technology, volume 22, no 3, p. 209213. http://www.iop.org/EJ/abstract/0268-1242/22/3/006/. (Citée à la page 45)

Thogersen, A., Mayandi, J., Finstad, T. G., Olsen, A., Christensen, J. S., Mitome, M. etBando, Y. (2008) Characterization of amorphous and crystalline silicon nanoclusters inultra thin silica layers. Journal of Applied Physics, volume 104, no 9, 094315. http://link.aip.org/link/?JAP/104/094315/1. (Citée à la page 53)

Tiwari, S., Rana, F., Chan, K., Hana, H., Chan, W. et Buchanan, D. (Dec 1995) Volatileand non-volatile memories in silicon with nano-crystal storage. Dans InternationalElectron Devices Meeting Technical Digest 1995. IEEE, p. 521524. http://dx.doi.org/10.1109/IEDM.1995.499252. (Citée aux pages 36 et 37)

Tiwari, S., Rana, F., Hana, H., Hartstein, A., Crabbé, E. F. et Chan, K. (1996) A siliconnanocrystals based memory. Applied Physics Letters, volume 68, no 10, p. 13771379.http://link.aip.org/link/?APL/68/1377/1. (Citée aux pages 36 et 91)

Tsukagoshi, K., Alphenaar, B. W. et Nakazato, K. (1998) Operation of logic function ina Coulomb blockade device. Applied Physics Letters, volume 73, no 17, p. 25152517.http://link.aip.org/link/?APL/73/2515/1. (Citée à la page 34)

Tsybeskov, L., Hirschman, K. D., Duttagupta, S. P., Zacharias, M., Fauchet, P. M., Mc-Carey, J. P. et Lockwood, D. J. (1998) Nanocrystalline-silicon superlattice producedby controlled recrystallization. Applied Physics Letters, volume 72, no 1, p. 4345.http://link.aip.org/link/?APL/72/43/1. (Citée à la page 53)

Tucker, J. R. (1992) Complementary digital logic based on the Coulomb blockade. Journalof Applied Physics, volume 72, no 9, p. 43994413. http://link.aip.org/link/?JAP/72/4399/1. (Citée à la page 11)

Uchida, K., Koga, J., Ohba, R., ichi Takagi, S. et Toriumi, A. (2001) Silicon single-electrontunneling device fabricated in an undulated ultrathin silicon-on-insulator lm. Journalof Applied Physics, volume 90, no 7, p. 35513557. http://link.aip.org/link/?JAP/90/3551/1. (Citée à la page 28)

Uchida, K., Koga, J., Ohba, R. et Toriumi, A. (2003) Programmable single-electrontransistor logic for future low-power intelligent LSI : proposal and room-temperatureoperation. IEEE Transactions on Electron Devices, volume 50, no 7, p. 16231630.http://dx.doi.org/10.1109/TED.2003.813909. (Citée à la page 29)

Uchida, K., Matsuzawa, K. et Toriumi, A. (1999) A new design scheme for logic cir-cuits with single electron transistors. Japanese Journal of Applied Physics, volume 38,no Part 1, No. 7A, p. 40274032. http://jjap.ipap.jp/link?JJAP/38/4027/. (Citée à lapage 34)

Veillet, C. (2003) The world's biggest digital imager ready to explore the universe onthe Canada-France-Hawaii Telescope. Dans CFHT, Télescope Canda-France-Hawaii./www.cfht.hawaii.edu/News/MegaPrime/, page consultée le 29 octobre 2009. (Citée àla page 2)

Venkatesan, M., McGee, S. et Mitra, U. (1989) Indium tin oxide thin lms for metallizationin microelectronic devices. Thin Solid Films, volume 170, no 2, p. 151162. http://dx.doi.org/10.1016/0040-6090(89)90719-0. (Citée à la page 77)

152

Page 173: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Vieu, C., Gierak, J., David, C., Lagadec, Y., Bourlange, A., Larigaldie, D., Wang, Z.,Flicstein, J. et Launois, H. (1997) Gold nanograins deposited from a liquid metal ionsource. Microelectronic Engineering, volume 35, no 1-4, p. 349352. http://dx.doi.org/10.1016/S0167-9317(96)00106-2. (Citée à la page 21)

Walters, R. J., , J. C., Feng, T., Bell, L. D. et Atwater, H. A. (2006) Silicon nanocrystaleld-eect light-emitting devices. IEEE Journal of Selected Topics in Quantum Electro-nics, volume 12, no 6, p. 16471656. http://dx.doi.org/10.1109/JSTQE.2006.885387.(Citée à la page 41)

Walters, R. J., Bouriano, G. I. et Atwater, H. A. (2005) Field-eect electroluminescencein silicon nanocrystals. Nature Materials, volume 4, no 2, p. 143146. http://dx.doi.org/10.1038/nmat1307. (Citée aux pages 41 et 42)

Walther, F., Davydovskaya, P., Zurcher, S., Kaiser, M., Herberg, H., Gigler, A. M. etStark, R. W. (2007) Stability of the hydrophilic behavior of oxygen plasma activatedSU-8. Journal of Micromechanics and Microengineering, volume 17, no 3, p. 524531.http://stacks.iop.org/0960-1317/17/524. (Citée à la page 76)

Wan, Y.-M., Lin, H.-T., Sung, C.-L. et Hu, S.-F. (2005) Nanopillar transistors exhibi-ting single-electron quantum eects at room temperature. Applied Physics Letters,volume 87, no 12, 123506. http://link.aip.org/link/?APL/87/123506/1. (Citée à lapage 32)

Wang, H., Takahashi, N., Majima, H., Inukai, T., Saitoh, M. et Hiramoto, T. (2001) Eectsof dot size and its distribution on electron number control in metal-oxide-semiconductor-eld-eect-transistor memories based on silicon nanocrystal oating dots. JapaneseJournal of Applied Physics, volume 40, no Part 1, No. 3B, p. 20382040. http://jjap.ipap.jp/link?JJAP/40/2038/. (Citée à la page 63)

Wang, L. W. et Zunger, A. (1994) Electronic structure pseudopotential calculations oflarge (.apprx.1000 atoms) Si quantum dots. The Journal of Physical Chemistry, vo-lume 98, no 8, p. 21582165. http://pubs.acs.org/doi/abs/10.1021/j100059a032. (Citéeà la page 9)

Weinberg, Z. A. et Hartstein, A. (1976) Photon assisted tunneling from aluminum intosilicon dioxide. Solid State Communications, volume 20, no 3, p. 179182. http://dx.doi.org/10.1016/0038-1098(76)90170-8. (Citée à la page 92)

Weiss, D. N., Brokmann, X., Calvet, L. E., Kastner, M. A. et Bawendi, M. G. (2006)Multi-island single-electron devices from self-assembled colloidal nanocrystal chains.Applied Physics Letters, volume 88, no 14, 143507. http://link.aip.org/link/?APL/88/143507/1. (Citée à la page 22)

Welser, J., Tiwari, S., Rishton, S., Lee, K. et Lee, Y. (1997) Room temperature operationof a quantum-dot ash memory. IEEE Electron Device Letters, volume 18, no 6, p. 278280. http://dx.doi.org/10.1109/55.585357. (Citée à la page 35)

Wendler, E., Herrmann, U., Wesch, W. et Dunken, H. H. (1996) Structural changes andSi redistribution in Si+ implanted silica glass. Nuclear Instruments and Methods inPhysics Research Section B, volume 116, no 1-4, p. 332337. http://dx.doi.org/10.1016/0168-583X(96)00068-7. (Citée à la page 50)

Wirth, G., Hilleringmann, U., Horstmann, J. T. et Goser, K. (1999) Mesoscopic transportphenomena in ultrashort channel MOSFETs. Solid-State Electronics, volume 43, no 7,p. 12451250. http://dx.doi.org/10.1016/S0038-1101(99)00060-X. (Citée à la page 24)

153

Page 174: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

Wolkin, M., Jorne, J., Fauchet, P., Allan, G. et Delerue, C. (1999) Electronic states andluminescence in porous silicon quantum dots : the role of oxygen. Physical Review Let-ters, volume 82, no 1, p. 197200. http://prola.aps.org/abstract/PRL/v82/i1/p197_1.(Citée à la page 40)

Woodham, R. et Ahmed, H. (1994) Fabrication of atomic-scale metallic microstructuresby retarding-eld focused ion beams. Journal of Vacuum Science and Technology B,volume 12, no 6, p. 32803284. http://dx.doi.org/10.1116/1.587612. (Citée à la page 21)

Yano, K., Ishii, T., Hashimoto, T., Kobayashi, T., Murai, F. et Seki, K. (1995) Transportcharacteristics of polycrystalline-silicon wire inuenced by single-electron charging atroom temperature. Applied Physics Letters, volume 67, no 6, p. 828830. http://link.aip.org/link/?APL/67/828/1. (Citée à la page 27)

Yildirim, F., Schliewe, R., Bauhofer, W., Meixner, R., Goebel, H. et Krautschneider, W.(2008) Gate insulators and interface eects in organic thin-lm transistors. OrganicElectronics, volume 9, no 1, p. 7076. http://dx.doi.org/10.1016/j.orgel.2007.09.005.(Citée aux pages 60 et 74)

Yoon, Y.-K. et Allen, M. G. (2005) Embedded conductor technology for micromachi-ned RF elements. Journal of Micromechanics and Microengineering, volume 15, no 6,p. 13171326. http://www.iop.org/EJ/abstract/0960-1317/15/6/025/. (Citée à lapage 60)

Yu, C., Fazan, P. C., Mathews, V. K. et Doan, T. T. (1992) Dishing eects in a chemicalmechanical polishing planarization process for advanced trench isolation. Applied Phy-sics Letters, volume 61, no 11, p. 13441346. http://link.aip.org/link/?APL/61/1344/1.(Citée à la page 60)

Yuki, K., Hirai, Y., Morimoto, K., Inoue, K., Niwa, M. et Yasui, J. (1995) Fabrication ofnovel Si double-barrier structures and their characteristics. Japanese Journal of AppliedPhysics, volume 34, no Part 1, No. 2B, p. 860863. http://jjap.ipap.jp/link?JJAP/34/860/. (Citée à la page 39)

Yusa, G. et Sakaki, H. (1997) Trapping of photogenerated carriers by InAs quantumdots and persistent photoconductivity in novel GaAs/n-AlGaAs eld-eect transistorstructures. Applied Physics Letters, volume 70, no 3, p. 345347. http://link.aip.org/link/?APL/70/345/1. (Citée à la page 42)

Zailer, I., Frost, J., Chabasseur-Molyneux, V., Ford, C. et Pepper, M. (1996) CrosslinkedPMMA as a high-resolution negative resist for electron beam lithography and applica-tions for physics of low-dimensional structures. Semiconductor Science and Technology,volume 11, no 8, p. 12351238. http://stacks.iop.org/0268-1242/11/1235. (Citée à lapage 55)

Zheng, T. et Li, Z. (2005) The present status of Si/SiO2 superlattice research into optoe-lectronic applications. Superlattices and Microstructures, volume 37, no 4, p. 227247.http://dx.doi.org/10.1016/j.spmi.2005.01.001. (Citée à la page 52)

Zhuang, L., Guo, L. et Chou, S. Y. (1998) Silicon single-electron quantum-dot transistorswitch operating at room temperature. Applied Physics Letters, volume 72, no 10,p. 12051207. http://link.aip.org/link/?APL/72/1205/1. (Citée à la page 27)

Zwerger, W. et Scharpf, M. (1991) Crossover from Coulomb-blockade to ohmic conduc-tion in small tunnel junctions. Zeitschrift für Physik B Condensed Matter, volume 85,

154

Page 175: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

no 3, p. 421426. http://www.springerlink.com/content/k61h5203053422r2/. (Citée àla page 17)

155

Page 176: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede

156

Page 177: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede
Page 178: Réalisationetcaractérisationopto- électriqued ...csidoc.insa-lyon.fr/these/2009/eugene/these.pdfNd'ordre 2009 ISAL097 Année2009 ThèsededoctoratencotutelleFrance-Québec Réalisationetcaractérisationopto-électriqued'unnanopixelàbasede