RFID_RCS

Embed Size (px)

Citation preview

  • 7/29/2019 RFID_RCS

    1/128

    INSTITUT NATIONAL POLYTECHNIQUE DE GRENOBLE

    N attribu par la bibliothque|__|__|__|__|__|__|__|__|

    T H E S E

    pour obtenir le grade de

    DOCTEUR DE LINP Grenoble

    SPECIALITE : Optique et radiofrquence

    prpare au Laboratoire de Conception et dIntgration des Systmes (LCIS)dans le cadre de lEcole Doctorale Electronique, Electrotechnique, Automatique, Tlcommunications et Signal

    prsente et soutenue publiquement

    par

    Rami KHOURI

    le 28 Mai 2007

    TITRE :

    Modlisation comportementale en VHDL-AMS du lien RF pour la simulation etloptimisation des systmes RFID UHF et micro-ondes

    Directeur de thse :Smal TEDJINI

    Co-encadrant :Vincent BEROULLE

    JURY

    M. Marc RENAUDIN , PrsidentM. Jean-Jacques CHARLOT , RapporteurM. Pascal FOUILLAT , RapporteurM. Smal TEDJINI , Directeur de thseM. Vincent BEROULLE , Co-encadrantM. Franois VACHERAND , Examinateur

    tel00389776,v

    ersion1

    29May2009

    http://hal.archives-ouvertes.fr/http://tel.archives-ouvertes.fr/tel-00389776/fr/
  • 7/29/2019 RFID_RCS

    2/128

    tel00389776,v

    ersion1

    29May2009

  • 7/29/2019 RFID_RCS

    3/128

    Table des matires

    1

    TABLE DES MATIERES

    1.1 Contexte gnral ........................................................................................................ 9

    1.2 Problmatique .......................................................................................................... 10

    1.3 Organisation du mmoire ........................................................................................ 10

    2.1 Introduction ............................................................................................................. 11

    2.2 Conception Top-Down des systmes mixtes et RF................................................. 12

    2.2.1 Origines et finalits.......................................................................................... 12

    2.2.1.1 Objectifs ...................................................................................................... 122.2.1.2 Apports de la conception numrique........................................................... 12

    2.2.1.3 Conception classique des systmes analogiques ......................................... 13

    2.2.1.4 Vers la conception Top-Down des systmes mixtes et RF ......................... 14

    2.2.2 Flot de conception Top-Down mixte et RF..................................................... 15

    2.2.2.1 Description systme et planification de la validation systme.................... 15

    2.2.2.2 Description architecturale et vrifications ................................................... 16

    2.2.2.3 Niveau circuit .............................................................................................. 16

    2.2.2.4 Raffinement ................................................................................................. 162.2.2.5 Vrifications et validations Bottom-Up....................................................... 17

    2.2.2.6 Conclusion ................................................................................................... 17

    2.3 Outils de simulation et langages de modlisation des systmes mixtes et RF ........ 18

    2.3.1 Simulation des systmes mixtes et RF ............................................................ 19

    2.3.1.1 Simulation numrique ................................................................................. 19

    2.3.1.2 Simulation analogique ................................................................................. 19

    2.3.1.3 Simulation RFIC.......................................................................................... 202.3.1.4 Simulation lectromagntique ..................................................................... 21

    2.3.1.5 Environnement de simulation mixte et RF.................................................. 22

    2.3.2 Langages de modlisation des systmes mixtes et RF.................................... 23

    2.3.2.1 VHDL .......................................................................................................... 24

    2.3.2.2 VHDL-AMS : langage de description des systmes mixtes ....................... 25

    2.3.2.3 Limites du langage VHDL-AMS ................................................................ 26

    2.4 La modlisation comportementale........................................................................... 26

    2.4.1 Obtention dun modle comportemental par la mthode ascendante.............. 27

    tel00389776,v

    ersion1

    29May2009

  • 7/29/2019 RFID_RCS

    4/128

    Table des matires

    2.4.2 Obtention dun modle comportemental par la mthode descendante ........... 28

    2.4.3 Obtention dun modle comportemental par la mthode meet in the middle 29

    2.5 Conclusion............................................................................................................... 29

    3.1 Introduction ............................................................................................................. 313.2 Prsentation gnrale des systmes RFID............................................................... 31

    3.2.1 Applications et march de la RFID................................................................. 31

    3.2.2 Principe de fonctionnement............................................................................. 33

    3.2.3 Diffrentes catgories de systmes RFID ....................................................... 35

    3.2.3.1 Tags tl-aliments et tags avec alimentation propre.................................. 35

    3.2.3.2 Systmes RFID basses frquences ............................................................. 36

    3.2.3.3 Systmes RFID UHF et micro-ondes .......................................................... 36

    3.2.4 Rgulations et normes RFID........................................................................... 37

    3.2.4.1 Rgulations concernant les frquences dutilisation et les puissancesdmission ................................................................................................................... 37

    3.2.4.2 Standard ISO ............................................................................................... 39

    3.2.4.3 Standard EPC Global .................................................................................. 39

    3.3 Etat de lart des architectures des systmes RFID UHF et micro-ondes ................ 40

    3.3.1 Protocoles de communication ......................................................................... 40

    3.3.2 Architecture des tags RFID UHF et micro-ondes ........................................... 423.3.2.1 Description gnrale de larchitecture dune puce RFID UHF ou micro-ondes 42

    3.3.2.2 Techniques de rtro-modulation.................................................................. 43

    3.3.2.3 Antenne ....................................................................................................... 44

    3.3.2.4 Packaging de la puce RFID......................................................................... 45

    3.3.3 Architecture des lecteurs RFID UHF ou micro-ondes .................................... 45

    3.3.3.1 Description gnrale de larchitecture dun lecteur RFID UHF ou micro-

    ondes 453.3.3.2 Chane dmission ....................................................................................... 46

    3.3.3.3 Chane de rception ..................................................................................... 47

    3.3.3.4 Antenne et couplage directe ........................................................................ 47

    3.3.4 Exemples de systmes RFID UHFet micro-ondes existants ........................... 49

    3.4 Aide la conception dun systme RFID UHF ou micro-ondes............................. 50

    3.4.1 Ncessit de la simulation systme conjointe ................................................. 50

    3.4.1.1 Besoins en exploration darchitecture ......................................................... 50

    3.4.1.2 Besoins en valuation de performances ...................................................... 51

    tel00389776,v

    ersion1

    29May2009

  • 7/29/2019 RFID_RCS

    5/128

    Table des matires

    3

    3.4.1.3 Besoins en optimisation............................................................................... 51

    3.4.2 Ncessit de la modlisation comportementale du lien RF et du tag .............. 52

    3.5 Conclusion ............................................................................................................... 53

    4.1 Introduction ............................................................................................................. 55

    4.2 Comportement lectrique dune antenne ................................................................. 55

    4.2.1 Fondement thorique de la reprsentation lectrique dune antenne en modemission 55

    4.2.1.1 Modle lectrique quivalent dune antenne en mode mission ................. 55

    4.2.1.2 Modle lectrique quivalent dune antenne en mode mission relie ungnrateur .................................................................................................................... 59

    4.2.2 Modle lectrique dune antenne en rception ................................................ 60

    4.3 Comportement en rayonnement............................................................................... 62

    4.3.1 Fondements thoriques .................................................................................... 62

    4.3.1.1 Proprits dmission .................................................................................. 62

    4.3.1.2 Proprits de rception ................................................................................ 64

    4.3.2 Relation entre la tension de rayonnement et la tension circuit ouvert............. 64

    4.4 Modle comportemental bidirectionnel du lien RF................................................. 65

    4.4.1 Lien descendant ............................................................................................... 65

    4.4.2 Modle bidirectionnel et vrifications thoriques .......................................... 67

    4.4.2.1 Adquation avec la formule de Friis complte............................................ 674.4.2.2 Adquation avec lquation Radar .............................................................. 69

    4.4.3 Modle crit en VHDL-AMS.......................................................................... 71

    4.5 Validations par simulations lectromagntiques ..................................................... 74

    4.5.1 Techniques de validation ................................................................................. 75

    4.5.1.1 Paramtre S11 ............................................................................................... 75

    4.5.1.2 Diagramme de rayonnement........................................................................ 76

    4.5.1.3 Calcul du RCS............................................................................................. 76

    4.5.2 Antenne diple /2 classique ........................................................................... 76

    4.5.2.1 Paramtre S11 ............................................................................................... 77

    4.5.2.2 Diagramme de rayonnement........................................................................ 77

    4.5.2.3 Calcul du RCS............................................................................................. 79

    4.5.3 Antenne pour Puce CEA ................................................................................. 80

    4.5.3.1 Paramtre S11.............................................................................................. 81

    4.5.3.2 Diagramme de rayonnement........................................................................ 82

    4.5.3.3 Calcul du RCS............................................................................................. 834.6 Conclusion ............................................................................................................... 85

    tel00389776,v

    ersion1

    29May2009

  • 7/29/2019 RFID_RCS

    6/128

    Table des matires

    5.1 Introduction ............................................................................................................. 87

    5.2 Modlisation comportementale dun systme RFID 2,45 GHz ........................... 87

    5.2.1 Modlisation du lecteur ................................................................................... 87

    5.2.1.1 Modlisation de la partie numrique du lecteur .......................................... 88

    5.2.1.2 Modlisation comportementale de la partie analogique et RF du lecteur ... 90

    5.2.2 Modlisation du tag......................................................................................... 92

    5.2.2.1 Modlisation fonctionnelle et pilotage du comportement lectrique.......... 93

    5.2.2.2 Modlisation du comportement lectrique du tag ....................................... 96

    5.2.2.3 Modlisation comportementale du tag ........................................................ 98

    5.3 Exemples dutilisation du modle comportemental dun systme RFID 2,45 GHz100

    5.3.1 Exemple dexploration darchitecture : indice de modulation ...................... 100

    5.3.2 Evaluation des performances globales dun systme RFID 2,45 GHz etoptimisation de sa distance de fonctionnement ............................................................. 102

    5.3.2.1 Exemples dvaluation des performances globales des systmes RFID UHFet micro-ondes ........................................................................................................... 102

    5.3.2.2 Optimisation de la distance de fonctionnement en fonction de ladaptationtag-antenne ................................................................................................................ 108

    5.4 Conclusion............................................................................................................. 111

    6.1 Conclusion gnrale .............................................................................................. 113

    6.2 Perspectives ........................................................................................................... 114

    tel00389776,v

    ersion1

    29May2009

  • 7/29/2019 RFID_RCS

    7/128

    Table des illustrations

    5

    TABLE DES ILLUSTRATIONS

    Fig.2.1. Evolution de la proportion de la composante non numrique dans les SoC[Kundert-03].... 11

    Fig.2.2. Influence du temps de mise sur le march dun produit[Auguin-03].............. 12

    Fig.2.3. Cycle de conception numrique en V.............................................................. 13

    Fig.2.4. Raffinement des modles lors de la vrification Bottom-Up .......................... 17

    Fig.2.5. Flot de conception Top-Down et vrification Bottom-Up des systmes mixteset RF .................................................................................................................... 18

    Fig.2.6. Analyse priodique et analyse transitoire [Kundert-05].................................. 21

    Fig.2.7. Utilisation des diffrentes familles de simulateurs dans le flot de conceptionmixte et RF [MSRF-05]............................................................................................... 22

    Fig.2.8. Structure fondamentale dun modle obtenu grce lapproche systmatique[Fakhfakh-02] .............................................................................................................. 28

    Fig.3.1. Rpartition des applications RFID par secteur dactivit [Bechevet-05]........ 32

    Fig.3.2. Evolution prvisionnelle du march de la RFID [AMR-2003] ....................... 32

    Fig.3.3. Exemples dapplication des systmes RFID [Sridhar-05]............................... 34

    Fig.3.4. Fonctionnement dun systme RFID [Hauet-06] ............................................ 34

    Fig.3.5. Composition dun Tag RFID [RFIDpr-http] ................................................... 35

    Fig.3.6. Couplage magntique en champ proche [Hauet06]......................................... 36

    Fig.3.7. Frquences autorises et retenues pour les applications RFID........................ 38

    Fig.3.8. Normes ISO 18000 pour la standardisation des systmes RFID [Hauet-06] .. 39

    Fig.3.9. Reprsentation schmatique dune communication RFID.............................. 40

    Fig.3.10. Schma bloc fonctionnel dun tag RFID UHF ou micro-ondes ...................... 42

    Fig.3.11. Architecture dun tag RFID UHF ou micro-ondes .......................................... 43

    Fig.3.12. Rflexion de londe incidente grce la technique de modulation de charge :modulation de la partie relle (a) et de la partie imaginaire (b) [Finkenzeller-03] ..... 44

    Fig.3.13. Exemples dantennes de tag [RFidea-http]...................................................... 45Fig.3.14. Schma bloc fonctionnel dun lecteur RFID UHF ou micro-ondes ................ 46

    Fig.3.15. Exemple de chane dmission classique......................................................... 47

    Fig.3.16. Exemple de chane de rception dun lecteur RFID........................................ 47

    Fig.3.17. Exemples dantenne de lecteur RFID [Traabilit-http] ................................. 48

    Fig.3.18. Architecture dun lecteur RFID UHF ou micro-ondes avec une seule antenne........................................................................................................................... 48

    Fig.4.1. Source de courant contenue dans un volume V............................................... 57

    Fig.4.2. Modle dune antenne quelconque en mode mission .................................... 57

    Fig.4.3. Modle lectrique dune antenne quelconque en mode mission ................... 58Fig.4.4. Modle lectrique dtaill dune antenne quelconque en mode mission ...... 59

    tel00389776,v

    ersion1

    29May2009

  • 7/29/2019 RFID_RCS

    8/128

    Table des illustrations

    Fig.4.5. Circuit quivalent dun gnrateur reli une antenne dmission ................ 60

    Fig.4.6. Circuit quivalent dune antenne en mode rception relie une charge....... 61

    Fig.4.7. Circuit quivalent complet dune transmission ............................................... 62

    Fig.4.8. Modle comportemental dune transmission .................................................. 65

    Fig.4.9. Modle comportemental de la rflexion.......................................................... 66Fig.4.10. Modle comportemental Full-Duplex du lien RF ........................................... 67

    Fig.4.11. Illustration de lapplication radar .................................................................... 69

    Fig.4.12. Description VHDL-AMS du comportement lectrique dune antenne /2..... 72

    Fig.4.13. Description VHDL-AMS du comportement en rayonnement dune antenne /2......................................................................................................................... 73

    Fig.4.14. Configuration utilise pour la simulation du paramtre S11 ............................ 75

    Fig.4.15. Gomtrie d une antenne diple /2 classique simule sous CST................. 76

    Fig.4.16. Paramtres S11 pour une antenne diple /2 classique obtenus avec ADMS RFet CST.......................................................................................................................... 77

    Fig.4.17. Diagramme de rayonnement d une antenne diple /2 classique obtenu avecCST.......................................................................................................................... 78

    Fig.4.18. Diagrammes de rayonnement de lantenne diple /2 obtenus avec ADMS RFet CST.......................................................................................................................... 78

    Fig.4.19. Variation du RCS en fonction de la partie relle de la charge de lantennediple /2 obtenus avec ADMS RF et CST. ............................................................... 79

    Fig.4.20. Variation des RCS en fonction de la partie imaginaire capacitive de la chargede lantenne diple /2 obtenues avec ADMS RF et CST.......................................... 80

    Fig.4.21. Antenne adapte la puce fournie par le CEA-LETI ..................................... 81Fig.4.22. Paramtres S11 pour le tag du CEA obtenus avec ADMS RF et CST............. 82

    Fig.4.23. Diagramme de rayonnement de lantenne obtenu avec la puce du CEA-LETIsous CST ..................................................................................................................... 82

    Fig.4.24. Diagrammes de rayonnement de lantenne du tag du CEA obtenus avecADMS RF et CST. ...................................................................................................... 83

    Fig.4.25. Variations du RCS en fonction de la partie relle de la charge de lantenneadapte lapartie imaginaire de la puce du CEA-LETI, obtenues avec ADMS RF etCST.......................................................................................................................... 84

    Fig.4.26. Variations du RCS en fonction de la partie imaginaire de la charge delantenne adapte la partie relle de la puce du CEA-LETI, obtenues avec ADMSRF et CST.................................................................................................................... 84

    Fig.5.1. Schma bloc du lecteur RFID 2,45 GHz modlis en VHDL-AMS ............. 88

    Fig.5.2. Machine tats du lecteur modlis en VHDL-AMS..................................... 89

    Fig.5.3. Traitement numrique du signal envoy par le lecteur modlis en VHDL-AMS 89

    Fig.5.4. Simulation de la chane dmission numrique du lecteur.............................. 90

    Fig.5.5. Front-end RF du lecteur modlis en VHDL-AMS ........................................ 91

    Fig.5.6. Simulation de la chane dmission analogique du lecteur ............................. 91Fig.5.7. Structure du modle comportemental du tag................................................... 93

    tel00389776,v

    ersion1

    29May2009

  • 7/29/2019 RFID_RCS

    9/128

    Table des illustrations

    7

    Fig.5.8. Schma bloc fonctionnel dun tag RFID UHF................................................ 93

    Fig.5.9. Machine dtat du tag modlise en VHDL-AMS.......................................... 94

    Fig.5.10. Traitement numrique modlis en VHDL-AMS du signal reu par le tag etgnration de la commande de la rtro-modulation .................................................... 95

    Fig.5.11. Modle fonctionnel du Front-End analogique et RF du tag modlis enVHDL-AMS................................................................................................................ 96

    Fig.5.12. Impdance complexe du tag en fonction de sa tension dentre pour le mode 0.......................................................................................................................... 97

    Fig.5.13. Impdance complexe du tag en fonction de sa tension dentre pour le mode 1......................................................................................................................... 97

    Fig.5.14. Schma structurelle du modle comportemental du tag.................................. 98

    Fig.5.15. Simulation des fonctions de rcupration du signal et de la puissance par letag ......................................................................................................................... 99

    Fig.5.16. Simulation de la retro-modulation ................................................................ 100

    Fig.5.17. Reprsentation temporelle du signal mis par le lecteur modul en ASK 100%(signal a) et du mme signal modul en ASK 50% (signal b)................................... 101

    Fig.5.18. Densits spectrales du signal mis par le lecteur modul en ASK 100%(signal a) et du mme signal modul en ASK 50% (signal b)................................... 102

    Fig.5.19. Puissance rcupre par le tag en fonction de la distance lecteur-tag........... 103

    Fig.5.20. RCS en fonction de la distance lecteur-tag ................................................. 104

    Fig.5.21. Configuration de lvaluation de linfluence de la position du tag par rapportau lecteur ................................................................................................................... 105

    Fig.5.22. Puissance rcupre par le tag en fonction de sa position angulaire ............. 105

    Fig.5.23. RCS en fonction de la position angulaire du tag par rapport au lecteur .....106

    Fig.5.24. Configuration de lvaluation de la dsadaptation en polarisation............... 107

    Fig.5.25. Puissance rcupre par le tag en fonction de la dsadaptation en polarisation......................................................................................................................... 107

    Fig.5.26. RCS en fonction de la dsadaptation en polarisation.................................. 108

    Fig.5.27. Puissance rcupre par le tag en mode 0, en fonction de la distance lecteur-tag, pour diffrentes configuration dadaptation ....................................................... 109

    Fig.5.28. Puissance rcupre par le tag en mode 1, en fonction de la distance lecteur-tag, pour diffrentes configuration dadaptation ....................................................... 110

    Fig.5.29. RCS en fonction de la distance lecteur-tag, pour diffrentes configurationdadaptation ...............................................................................................................111

    tel00389776,v

    ersion1

    29May2009

  • 7/29/2019 RFID_RCS

    10/128

    Table des illustrations

    tableau.2.1. Tableau comparatif des trois environnements de simulation...................... 23

    tableau.2.2. Domaines de validits de diffrents langages de modlisation................... 24

    tableau.3.1. Puissances autorises en fonction des principales frquences decommunication .................................................................................................................... 38

    tableau.3.2. Principales procdures de communication RFID [Finkenzeller-03]........... 41

    tableau.3.3. Caractristiques de quelques tags RFID UHF fonctionnant 900 MHz[Curty-1-05] 49

    tableau.3.4. Caractristiques de tags RFID micro-ondes fonctionnant 2,45 GHz [Curty-1-05] 49

    tableau.5.1. Distance maximum de fonctionnement en fonction de la configurationdadaptation de lantenne du tag ....................................................................................... 110

    tel00389776,v

    ersion1

    29May2009

  • 7/29/2019 RFID_RCS

    11/128

    Introduction gnrale

    9

    1. CHAPITRE I :INTRODUCTION GENERALECHAPITRE I

    INTRODUCTION GENERALE

    1.1.

    1.1 Contexte gnralDepuis la prdiction de Gordon Moore professe en 1965 stipulant que le nombre de

    transistors intgrs double tous les 18 mois, les progrs de la microlectronique ne cessentde donner raison cette loi. Alors que lintgration lchelle nanomtrique est lordredu jour, le monde de llectronique intgre doit rpondre depuis peu de nouveaux dfiscauss par lincorporation de composants non-numriques dans les circuits intgrs. Eneffet, le march de la tlphonie mobile et plus rcemment celui de ce quon appelle lintelligence ambiante 1, poussent les fabricants intgrer au sein de leurs systmes deslments htrognes comme des composants lectroniques radiofrquences, descomposants optolectroniques, des capteurs et actionneurs, voir mme des capteurschimiques ou biologiques. Compte tenu de la complexit quintroduit lintgration de cetype de composants la fabrication des microsystmes, les spcialistes ont baptis ces

    derniers comme tant des composants More than Moore [ENIAC-http]. Mais cescomposants ne posent pas uniquement des problmes technologiques, ils complexifientaussi grandement le processus de conception des systmes lectroniques [Zhang-05].

    Le projet europen Mimosa (Microsystems platform for Mobile Services and Applications)[Mimosa-http] est un exemple de ce que reprsentera peut-tre lintelligence ambiante dans notre quotidien. Avec le tlphone portable comme interface entre lutilisateur et sonenvironnement, la plate-forme Mimosa communique avec un rseau de capteurs et fournitdes services de plus en plus complexes. Certains lments de cette plate-forme intgrentdes composants dits More than Moore . La technologie RFID (pour RadioFrequencyIDentification), destine dans ce projet assurer la liaison entre les capteurs et le tlphone

    portable, reprsente un exemple typique de systme htrogne. En effet, en plus de laprsence du capteur, une communication du type RFID ncessite lintgration decomposants numriques, analogiques et RF. Plus encore, ces systmes ont un mode defonctionnement particulier : les tags RFID (aussi appels tiquettes intelligentes) sont tl-aliments et contrairement aux systmes de communications classiques, ils ne possdentpas de chanes dmission propres. Afin de communiquer une information au tlphoneportable, ils vont agir sur les signaux incidents quils reoivent : ce sont les perturbationsquils engendrent sur ces signaux qui portent linformation. Laide la conception de telssystmes sans-fil fonctionnant aux frquences UHF et micro-ondes, est au cur de notreproblmatique.

    1- Lintelligence ambiante est dfinie par [Zhang-05] comme tant un environnement numrique sensible etractif la prsence et aux besoins des personnes

    tel00389776,v

    ersion1

    29May2009

  • 7/29/2019 RFID_RCS

    12/128

    Chapitre I

    1.2 ProblmatiqueLa conception des systmes sans-fil complexes dont les systmes RFID font partie,ncessite ladoption dune stratgie globale qui doit permettre dobtenir le plus rapidementpossible une solution de conception optimale. La simulation de lensemble des parties de

    ce type de systme est une tape indispensable au flot de conception des systmeshtrognes. Or, une telle simulation ncessite ladoption dun langage de modlisationnormalis, capable de dcrire les parties numriques, analogiques, radiofrquences etmme les lments rayonnants comme les antennes. Alors quil existe aujourdhui desmodles comportementaux pour les parties numriques, analogique et RF, il y a un manquede modles de lien RF hautes frquences et de modles dantennes.

    Dans le cadre de notre collaboration avec le CEA-LETI [CEA-LETI-http], laboratoirecharg de la conception et de lintgration de la partie RFID dans le projet Mimosa,lobjectif de la thse prsente dans ce mmoire est de permettre la modlisation compltedun systme RFID fonctionnant 2,45 GHz, en proposant des modles comportementaux

    du lien RF incluant les antennes du lecteur et du tag.

    1.3 Organisation du mmoireCe mmoire est organis en six chapitres incluant la prsente introduction et uneconclusion. Le chapitre II est ddi la prsentation de la mthodologie gnrale deconception des systmes mixtes et RF et aux outils de simulations et langages demodlisation qui permettent sa mise en uvre. Cela nous permettra de soulignerlimportance du niveau de modlisation comportemental et de dtailler les mthodesdobtention de tels modles.

    Le chapitre III est ddi la prsentation des systmes RFID. Aprs un tat de lart desarchitectures des systmes RFID UHF et micro-ondes existants, nous dmontrerons lancessit de la simulation de lensemble des parties dun tel systme et donc de samodlisation complte. Plus particulirement, laccent sera mis sur la ncessit de lamodlisation comportementale du lien RF et du tag.

    Lobjectif du chapitre IV est de proposer une modlisation comportementale dun lien RFUHF bidirectionnel qui soit compatible avec le langage de description VHDL-AMS. Dansce chapitre, laccent sera mis sur la mthodologie de modlisation des deux comportementsfondamentaux des antennes incluses dans le lien : leur comportement lectrique et leur

    rayonnement.Le chapitre V est consacr la prsentation de notre solution de modlisation dun systmeRFID complet qui intgre le modle du lien RF propos dans le chapitre IV. Compte tenude la spcificit fonctionnelle de tels systmes, une attention particulire sera accorde lamodlisation du tag afin de prendre en compte les comportements essentiels la simulationde lensemble du systme. Plusieurs exemples de simulations dmontreront lintrtdutiliser nos modles comportementaux pour rpondre aux besoins en explorationdarchitecture, en valuation de performances et en optimisation des systmes RFID UHFet micro-ondes.

    Enfin, la conclusion rsumera les travaux exposs dans ce mmoire et prsentera les

    principales perspectives envisages.

    tel00389776,v

    ersion1

    29May2009

  • 7/29/2019 RFID_RCS

    13/128

    Flot de conception des systmes mixtes et RF

    11

    2. CHAPITRE II :FLOT DE CONCEPTIONCHAPITRE II

    FLOT DE CONCEPTION DES SYSTEMES MIXTES ET RFET MODELISATION COMPORTEMENTALE

    2.2.

    2.1

    IntroductionLe dveloppement frntique des applications de tlcommunications et de llectroniqueen gnral pousse les fabricants de systmes lectroniques intgrer et miniaturiser lescircuits. Du fait des progrs technologiques accomplis ces dernires annes, des systmesentiers composs de fonctions htrognes sont intgrs sur une seule et mme puce. Eneffet, ces systmes sur puce que lon appelle aussi SoCs (System-on-Chip), contiennent, enplus des fonctions numriques, des fonctions analogiques, de la RF ou des capteurs.Daprs les prvisions de lassociation SIA (Semiconductor Industry Association), en2006, 70% des ASICs (Application-Specific Integrated Circuits) contenaient des partiesanalogiques, alors quen 1998, la proportion de ces systmes mixtes ntait que de 17%

    [Martin-01](Fig.2.1). Cette nouvelle donne a boulevers la mthodologie de travail desconcepteurs de SoCs et a provoqu, pour la conception de ces systmes complexes,ladoption de plus en plus frquente de ce quon appelle le flot de conception Top-Down.

    Nouveaux systmesNouveaux systmes

    Fig.2.1. Evolution de la proportion de la composante non numrique dans les SoCs[Kundert-03]

    tel00389776,v

    ersion1

    29May2009

  • 7/29/2019 RFID_RCS

    14/128

    Chapitre II

    Dans ce chapitre, nous donnerons tout dabord un aperu gnral de cette nouvellemthodologie de conception. Nous nous intresserons, en second lieu, aux moyens quipermettent sa mise en uvre, cest--dire, aux techniques et outils de modlisation et desimulation des systmes complexes. Enfin, nous prsenterons le niveau dabstraction clefdu flot de conception Top-Down des systmes mixte et RF : le niveau comportemental.

    2.2 Conception Top-Down des systmes mixtes et RF2.2.1 Origines et finalits2.2.1.1 ObjectifsDu fait de lexplosion du march des SoCs mixtes et RF, de nombreux produitsapparaissent chaque anne. Afin de toujours rester en phase avec la demande et sonvolution rapide, les fabricants se doivent de mettre leurs produits sur le march le plus

    vite possible. Il est aujourdhui vident que les fabricants qui mettent en premier leursproduits sur le march sont ceux qui en tirent le plus de bnfices. La Fig.2.2 illustre laperte de revenu due une entre tardive dun produit sur le march.

    Fig.2.2. Influence du temps de mise sur le march dun produit[Auguin-03]La rduction du temps de mise sur le march dun produit, appel Time-to-Market,concerne non seulement les procds de fabrication mais aussi la dure de conception quelon souhaite la plus courte possible. Cela implique lutilisation dune mthodologie deconception qui permet un gain de temps maximum pour passer de lide dun produit

    jusqu sa mise en fabrication. Lobjectif de la mthodologie de conception Top-Down dessystmes mixtes et RF est donc doptimiser le temps de conception de manire rduire leTime-to-Market. Cette optimisation doit faire face la complexit croissante des SoCs etsurtout lhtrognit grandissante de ces derniers. Nous verrons dans la suite denotre tude comment ladoption de la mthodologie Top-Down, inspire du monde de laconception numrique, permet de relever ces dfis.

    2.2.1.2 Apports de la conception numriqueLvolution de la mthodologie de conception des systmes numriques est remarquable bien des gards. Les concepteurs de circuits numriques furent confronts au problme dela conception de systmes complexes bien avant les concepteurs analogiques. Leur rponse

    tel00389776,v

    ersion1

    29May2009

  • 7/29/2019 RFID_RCS

    15/128

    Flot de conception des systmes mixtes et RF

    13

    fut duvrer, ds la fin des annes 80, lunification et la normalisation de la conceptionnumrique. Cela cest traduit par la standardisation des descriptions des systmesnumriques, par la hirarchisation de ces descriptions en partant de la description la plusabstraite la plus concrte, et enfin, par la formulation de mthodes pour passer dunniveau hirarchique un autre. Les passages entres ces niveaux de descriptions sont

    aujourdhui de plus en plus automatiss, notamment grce lutilisation de langages dedescription normaliss comme le VHDL [VHDL-http]. Le flot de conception numriqueest rappel de manire schmatique sur la Fig.2.3.

    Aujourdhui, la rutilisation de blocs ou IP (Intellectual Property), cest--dire de modulesreprsentant des fonctions numriques dj valides, permet un gain de tempsconsidrable. De plus, la mthodologie ainsi instaure permet de vrifier et daffiner lesdiffrentes descriptions du systme tout au long du processus de conception. Lobjectifainsi vis est lobtention, sans avoir recours de nombreuses itrations, de la solution deconception optimum. Cet objectif est appel First Time Right Design.

    Synthse logique

    Niveau systme

    Niveau comportemental

    Niveau RTL

    Niveau logique

    Niveau Layout

    Validation systme

    Validationcomportemental

    Validation RTL

    Validation logique

    Validation Layout

    Implmentation Validation

    Temps TempsSynthse logique

    Niveau systme

    Niveau comportemental

    Niveau RTL

    Niveau logique

    Niveau Layout

    Validation systme

    Validationcomportemental

    Validation RTL

    Validation logique

    Validation Layout

    Niveau systme

    Niveau comportemental

    Niveau RTL

    Niveau logique

    Niveau Layout

    Validation systme

    Validationcomportemental

    Validation RTL

    Validation logique

    Validation Layout

    Implmentation Validation

    Temps Temps

    Fig.2.3. Cycle de conception numrique en V

    Cette mthodologie intresse les concepteurs de circuits analogiques et mixtes. Les apportsmajeurs de la mthodologie de conception numrique peuvent tre rsums par ces quatreprincipaux points:

    - lhomognisation du flot de conception.

    - La hirarchisation des descriptions tout au long de ce flot de conception.

    - Lautomatisation du passage dun niveau de description un autre.

    - La possibilit de vrification tout au long du cycle de conception.

    2.2.1.3 Conception classique des systmes analogiquesLa mthodologie traditionnelle de conception des circuits analogiques est appele

    tel00389776,v

    ersion1

    29May2009

  • 7/29/2019 RFID_RCS

    16/128

    Chapitre II

    conception Bottom-Up. Cest une mthodologie ascendante dans laquelle le concepteursappuie sur des composants lmentaires (transistors, diodes, capacits) pour crer desfonctions. Ensuite, ces fonctions sont assembles pour crer des blocs quon associe leurtour les uns aux autres jusqu parvenir au systme complet. Cest donc une description dusystme en tant quassociation de composants lectroniques lmentaires qui est obtenue.

    Ce niveau de description est gnralement dsign comme tant le niveau transistor.Linconvnient majeur de cette mthodologie est la difficult de simuler lensemble dusystme. En effet, plus le systme comporte un nombre lev de composants, plus lestemps de simulations sont longs et coteux en terme de capacit de calculs. Cela rend bienvidemment difficile la vrification du systme dans sa totalit. Gnralement, chaque blocest vrifi individuellement en fonction des spcifications qui lui sont propres. Toute erreurconstate oblige le concepteur reprendre son travail depuis le dbut. Cela est dautantplus pnible et coteux que lerreur est dtecte tardivement.

    Pour vrifier le fonctionnement du systme dans sa globalit, les concepteurs ont le plussouvent recours la mesure directe sur des prototypes. Cela ncessite gnralement

    plusieurs itrations de conception et de test avant dobtenir la solution optimum.

    La conception selon la mthode Bottom-Up savre donc inadquate pour rpondre lacomplexit grandissante des SoCs mixtes et RF. Elle ne permet ni lexplorationdarchitecture, ni la vrification graduelle du systme concevoir. Cela se traduit par uncycle de conception trs long compar au cycle de conception des systmes numriques.

    2.2.1.4 Vers la conception Top-Down des systmes mixtes et RFDans les annes 90, compte tenu des limitations de la mthodologie classique deconception des systmes analogiques prsentes dans le paragraphe prcdent, la migration

    vers une approche de conception Top-Down des SoCs mixtes est devenue invitable. Lacomplexit des systmes due lintgration et lincorporation de parties analogiques etRF dans les SoCs a naturellement pouss les concepteurs explorer des solutionsarchitecturales pralablement tout processus de conception bas niveau. Lutilisation desimulateurs systmes haut niveau dabstraction tels que Matlab-Simulink est aujourdhuiune tape indispensable [Matlab-http]. Malheureusement, la majorit des concepteurs secontentent de cette premire tape et reviennent ensuite une conception Bottom-Upclassique, qui ne permet pas de palier aux problmes de vrification et doptimisation deleurs produits lors de la phase de conception. En effet, ladoption dun flot de conceptionstandardis, hirarchique et descendant se heurte principalement aux difficults inhrentesau domaine de llectronique analogique et RF. Ces difficults apparaissent ds lors quelon considre les quatre points principaux de la mthodologie de conception Top-Downque nous avons relevs la fin du paragraphe 2.2.1.2 :

    - En ce qui concerne lhomognisation du flot de conception, elle consiste surtouten lutilisation de moyens de description standardiss. Le langage VHDL-AMS[VHDL-AMS-http] permet aujourdhui de rpondre cette exigence, mais lesbibliothques dIP analogiques et RF sont loin dtre aussi riches que cellesdisponibles pour les concepteurs numriques. De plus, les modles proposs sontsouvent utilises en interne au sein dune mme entreprise et sont difficilementtransportables en dehors de leur contexte dorigine.

    - La dfinition dune hirarchie dans les descriptions des fonctions analogiques et RFsouffre encore dun manque de consensus entre concepteurs. En effet,

    tel00389776,v

    ersion1

    29May2009

  • 7/29/2019 RFID_RCS

    17/128

    Flot de conception des systmes mixtes et RF

    15

    contrairement au domaine numrique, il existe une multitude de phnomnes prendre en compte pour dcrire un composant analogique ou RF. Les diffrentsniveaux de description reprsentent donc chacun un compromis entre prcision dumodle et rapidit de simulation. Il est encore difficile aujourdhui de standardiser ces niveaux.

    - Lautomatisation du passage dun niveau de description hirarchique un autresavre bien plus difficile en analogique quen numrique cause de la naturemme du signal analogique. Ce dernier, en tant que signal continu, dpendfortement des interactions entres-sorties, ce qui rend extrmement problmatiquesa transposition dun niveau hirarchique un autre. Cette difficult est dautantplus grande que le niveau de description est bas.

    - Enfin, la possibilit de vrifier les diffrentes descriptions tout au long du flot deconception se heurte simultanment toutes les difficults cites prcdemment.

    Les concepteurs sont donc confronts des difficults conceptuelles et techniques relatives

    aux outils de modlisation et de simulation qui permettraient la mise en oeuvre de lamthodologie de conception Top-Down des systmes mixtes et RF. Heureusement,certains outils qui rpondent une grande partie de ses difficults (surtout en permettant lasimulation mixte et RF) ont rcemment fait leur apparition ; ils feront lobjet de la section2.3 de ce chapitre. Nous allons dabord dtailler, dans le paragraphe qui suit, les grandestapes qui caractrisent le flot de conception des systmes mixtes et RF.

    2.2.2 Flot de conception Top-Down mixte et RFLe nombre dtapes du flot de conception des systmes mixtes et RF varie selon lacomplexit de ces systmes. Dans le cadre de notre tude, nous nous limiterons laprsentation des grandes lignes de cette mthodologie afin den faire ressortir les principes.

    2.2.2.1 Description systme et planification de la validation systmeLa premire tape du flot de conception consiste en une formulation du concept originel entant que cahier des charges [Kundert-99]. Cette phase permet de dterminer lesspcifications gnrales du systme un trs haut niveau dabstraction. A ce stade, leconcepteur ne soccupe pas de la manire avec laquelle il est suppos atteindre sonobjectif. Par exemple, il ignore sil va utiliser une solution numrique ou analogique pourrpondre au besoin quil vient dexprimer pour telle ou telle fonction. Il sen suit une tapedexploration algorithmique, toujours un niveau dabstraction lev. Le concepteur doit

    donc disposer dun simulateur fonctionnel trs rapide et facile daccs. Gnralement,Matlab-Simulink est loutil le plus utilis ce stade du flot de conception.

    Mme un tel niveau dabstraction et ds que lalgorithme gnral du systme est trouv,le concepteur se doit dj de dfinir les mthodes et critres de vrification de son systme.Pour cela, il ralise se quon appelle un plan de modlisation auquel sera associ un plande vrification [Kundert-03]. Il devra donc identifier les paramtres critiques quincessiteront une attention particulire. En consquence, il sera en mesure de prvoir leniveau de prcision ncessaire la modlisation de chacune des parties de son systme etdterminer ainsi, le niveau de description et le simulateur appropris chacune dentreelles.

    tel00389776,v

    ersion1

    29May2009

  • 7/29/2019 RFID_RCS

    18/128

    Chapitre II

    2.2.2.2 Description architecturale et vrificationsUne fois les fonctions nominales dtermines et diffrencies, ltape suivante consiste choisir les architectures qui vont permettre de raliser chacune de ces fonctions.Linteraction entre les diffrents blocs reprsentant les diffrentes fonctions nest donc plusdordre mathmatique : elle est dornavant assure par une connexion lectrique. En effet,lobjectif principal de ce niveau de description architecturale est de garantir lefonctionnement du systme complet en prenant en compte les interconnexions de manireprcise. De ce fait, lexploration darchitecture est rendue possible et le concepteur pourramesurer linfluence de ses choix architecturaux sur le comportement final du systme.

    Un tel niveau de description ne peut tre visualis qu laide dun simulateur mixte et RF.Les particularits des sous-systmes numriques, analogiques et RF sont maintenant prisesen compte et une attention particulire doit tre porte aux conversions entre les signaux denature diffrentes. Nous dtaillerons ce point dans la section 2.3 lorsque nous prsenteronsles particularits du langage VHDL-AMS ainsi que les modalits de simulation mixtes et

    RF.Chaque sous-systme dcrit peut lui mme tre modlis des niveaux dabstractionsdiffrents tant que les conventions dentre/sortie sont respectes. Les modles seront doncle rsultat dun compromis entre prcision de la description et rapidit de la simulation.Gnralement, lexploration darchitecture ne ncessite pas lutilisation de modles basniveau (niveau porte logique ou transistor) car ces derniers ralentissent considrablement lasimulation. Il est plus judicieux dutiliser des modles comportementaux o seuls lescomportements significatifs sont pris en compte. Nous tudierons plus en dtail lesprincipes et mthodes de la modlisation comportementale dans la section 2.4.

    Enfin, les diffrents sous-systmes doivent tre vrifis et tests sparment. Ils peuvent

    ensuite constituer une bibliothque dIPs et tre rutiliss ultrieurement. Cependant, cesvrifications ne doivent pas occulter la validation du systme dans sa totalit comme nousle montrerons dans la section 2.2.2.5.

    2.2.2.3 Niveau circuitAprs avoir choisi les architectures des diffrents sous-systmes et avoir vrifi nonseulement leurs fonctionnements individuels mais aussi ladquation de lensemble dusystme avec les spcifications du cahier des charges initial, nous pouvons affiner ladescription de chacun des blocs et les modliser directement laide de primitiveslectriques. Ce niveau de description correspond au niveau porte logique pour les parties

    numriques et au niveau transistor pour les parties analogiques. Lintrt de ce niveau demodlisation est de simuler avec une bien meilleure prcision et en prenant en compte latechnologie que nous souhaitons utiliser. En contrepartie les temps de simulations sontindfiniment suprieurs ceux correspondants aux cas prcdents, ce qui rend trs difficileles vrifications et quasi-impossible la vrification de tout le systme.

    Les simulateurs utiliss pour ce niveau de description sont appels SPICE-LIKE enallusion au clbre simulateur de luniversit de Berkeley [Spice-http].

    2.2.2.4 RaffinementLa facilit du passage dun niveau de description un autre dpend essentiellement desoutils que nous avons disposition. En numrique, lautomatisation de ces passages

    tel00389776,v

    ersion1

    29May2009

  • 7/29/2019 RFID_RCS

    19/128

    Flot de conception des systmes mixtes et RF

    17

    touchent aujourdhui la plupart des tapes du flot de conception jusqu la synthse. Dansle domaine analogique et RF, lautomatisation nest pas encore lordre du jour malgr lesnombreuses tudes qui lui sont consacre [Kundert-03]. Nanmoins, il existe des outils desynthses spcifiques pour les dernires tapes, ds lors que les schmas niveau transistoret les technologies cibles sont connus.

    2.2.2.5 Vrifications et validations Bottom-UpAprs limplmentation complte dun bloc, il est possible dutiliser le niveau dedescription le plus bas de ce bloc pour affiner la modlisation de la descriptionhirarchique qui lui est directement suprieure, comme indiqu sur la figure ( Fig.2.4). Onparle alors dextraction de modles. En effet, vu que la dernire description est la plusprcise, il se peut que la marge derreur entre la simulation de cette dernire et celle de ladescription hirarchiquement suprieure soit consquente. Or, il est difficile dutiliser lemodle bas niveau pour la simulation systme complte ; lextraction dune descriptionmoins complexe savre donc tre une bonne solution et surtout un meilleur compromis,

    entre prcision et rapidit de simulation, que le modle antrieur. De plus, le modle ainsiextrait pourra tre intgr dans une bibliothque dIP et donc tre rutilis ultrieurement.

    Niveau systme

    Niveau comportemental

    Niveau circuit

    Niveau Layout

    Modle systmeaffin

    Modle comportementalparamtr

    Modle circuitextrait

    Validation Layout

    Implmentation Validation

    Extraction(effets parasites)

    Extraction(paramtres)

    Extraction(variables gnriques)

    Fig.2.4. Raffinement des modles lors de la vrification Bottom-Up

    Enfin, le flot de conception se termine par une tape de validation Bottom-Up qui concernelensemble des descriptions du systme. En effet, pour les niveaux dabstraction bas, lasimulation complte du systme est extrmement lente et parfois mme impossible raliser. Les concepteurs ont donc souvent recours des simulations multi-niveaux afindviter les parties trs gourmandes en temps de calcul.

    2.2.2.6 ConclusionEn guise de rsum, la Fig.2.5 reprsente le flot de conception des systmes mixtes et RF

    tel que nous venons de le dcrire. Nous retrouvons un cycle de conception en Vcomparable celui prsent en Fig.2.3 : le systme complexe est dcrit de manire

    tel00389776,v

    ersion1

    29May2009

  • 7/29/2019 RFID_RCS

    20/128

    Chapitre II

    hirarchique, les passages dun niveau de description un autre sont identifis et ltape devalidation finale est reprsente par la flche ascendante droite dans la Fig.2.5. Lapartition du systme qui apparat ds ltape de description architecturale implique uneconception spare mais nanmoins simultane des diffrents domaines composant lesystme. La conception de chaque partie est dailleurs gnralement effectue par des

    quipes diffrentes de spcialistes. Toutefois, la ncessit dune validation transversalepour chacun des niveaux de description oblige les concepteurs adopter une reprsentationharmonise garantissant les interactions entre modles du mme niveau. Cela est dautantplus important quil existe des sous-blocs mixtes comme les convertisseurs par exemple.

    Ainsi, il apparat clairement que la mise en uvre du flot de conception des systmesmixtes et RF ncessite des outils de modlisation assurant lharmonisation des description tout les niveaux hirarchiques, et des moteurs de simulation capables de grer desdomaines diffrents, simultanment.

    Description et simulationsystme

    Niveaucomportemental

    Description et simulationcomportementale/RTL

    Niveausystme

    Niveaucircuit

    NiveauLayout

    Description et simulationcomportementale

    Description et simulationcomportementale

    Description et simulationportes logiques

    Description et simulationtransistor

    Description et simulationcircuit RF

    FormulationLayout numrique

    FormulationLayout analogique

    FormulationLayout RF

    Validationcomportementale

    Validationsystme

    Validationcircuit

    ValidationLayout

    Intgration Layout du systme complet

    Fig.2.5. Flot de conception Top-Down et vrification Bottom-Up des systmes mixtes et RF

    2.3 Outils de simulation et langages de modlisation des systmes mixteset RF

    Lapplication de la mthodologie de conception des systmes mixtes et RF explicite dansla section prcdente ncessite lutilisation doutils de modlisation et de simulationadquats. En effet, tout au long du flot de conception, lefficacit de la mthode dpenddirectement des performances de lenvironnement de simulation, compte tenu de la mixitdes signaux et des problmes ventuelles que nous avons indiqus dans le paragraphe2.2.1.4. De plus, nous avons aussi soulign limportance de lutilisation dun langage de

    description standard pour faciliter la transportabilit des modles et la constitution debibliothques dIP. Dans cette section, nous allons tout dabord prsenter brivement les

    tel00389776,v

    ersion1

    29May2009

  • 7/29/2019 RFID_RCS

    21/128

    Flot de conception des systmes mixtes et RF

    19

    outils de simulation actuels utiles la conception des systmes mixtes et RF. Ensuite, nousprsenterons les langages de modlisation en nous intressant en particulier au langageVHDL-AMS.

    2.3.1 Simulation des systmes mixtes et RFPour les systmes qui font lobjet de notre tude, nous distinguons trois types de signaux :les signaux numriques, les signaux analogiques et les signaux RF. Chacune de cescatgories possde ses propres caractristiques et ncessite donc des algorithmes desimulation spcifiques. Nous exposerons brivement les particularits de ces troisdomaines de simulation pour ensuite prsenter les outils capables de les rassembler au seindun environnement unique, permettant ainsi la simulation mixte et RF.

    2.3.1.1 Simulation numriqueLes signaux numriques peuvent tre considrs comme des successions dtats logiquesdiffrents et de dures dtermines. Cette reprsentation permet aux simulateursnumriques de reposer entirement sur des algorithmes de simulation vnementiels. Lessimulations numriques sont gnralement trs rapides et sadaptent parfaitement ladescription matrielle et hirarchique des systmes. La quasi-totalit des simulateursnumriques supportent le langage VHDL. Les simulateurs les plus connus sont ModelSimde Mentor Graphics et NC-Sim de Cadence.

    2.3.1.2 Simulation analogiqueLa simulation analogique est base sur la mise en quations des courants et tensions dunrseau de composants lectriques. Ces relations sont gres par les quations de Kirchhoff[Nagel-75]. Le systme dquations ainsi obtenu est dautant plus complexe que le rseaulectrique comprend de composants. A partir de ces quations, plusieurs types danalysespermettent de visualiser la rponse du circuit tudier en fonction dune stimulationdonne. Les principales analyses permettant dtudier les circuits analogiques sont lessuivantes :

    - lanalyse statique DC est ltude du point de fonctionnement du circuit. Elleconsiste en la dtermination, en rgime permanent, des tensions et courants durseau lectrique.

    - Lanalyse transitoire est quant elle utilise pour dterminer la rponse temporelledun circuit pour une dure dobservation finie.

    - Lanalyse frquentielle AC est une tude de la rponse frquentielle du circuit desstimuli sinusodaux.

    Pour chacune de ces analyses un systme dquations plus ou moins complexe est mis enplace. Lanalyse DC repose sur la rsolution dun systme dquations non-linaires. Pourlanalyse transitoire, la rsolution dun systme dquations diffrentielles non-linaires estncessaire chaque pas de la dure dobservation. Enfin, lanalyse frquentielle repose surla linarisation des lments non-linaires du circuit autour dun point de fonctionnementobtenu grce une analyse DC pralable. Lefficacit de ces analyses dpend fortement duniveau de complexit du circuit tudier. Elles deviennent trs gourmandes en temps et en

    capacit de calculs ds que le nombre de transistors est consquent o ds que le nombrede pas de simulation est important.

    tel00389776,v

    ersion1

    29May2009

  • 7/29/2019 RFID_RCS

    22/128

    Chapitre II

    Le simulateur le plus connu et le plus largement utilis est sans conteste le simulateurSPICE dvelopp par luniversit de Berkeley [Nagel-75]. Dautres simulateurs plusrcents, et aujourdhui dune efficacit comparable, sont aussi largement utiliss commeELDO de Mentor Graphics et Spectre de Cadence.

    2.3.1.3 Simulation RFICLa partie RF dun systme de transmission permet gnralement la transformation dusignal en bande de base contenant linformation en un signal modul prt emprunter uncanal de propagation. Cette transformation implique ladoption de techniques desimulation spcifiques ce type de signaux et aux composants RF correspondants. Ainsi, ilexiste des simulateurs permettant lextension des analyses prsentes dans le paragrapheprcdent au cas des signaux RF. En effet, un tel signal compos dun signal modulantbasse frquence et dune porteuse largement plus rapide pose principalement deuxproblmes aux simulateurs analogiques classiques :

    - Lanalyse transitoire dun circuit RF se fait forcement en fonction dun pas detemps trs court impos par la frquence de la porteuse. Cela allongeconsidrablement les temps de simulation car le signal utile est le signal modulantbasse frquence.

    - Lanalyse frquentielle nest valable quautour dun point de fonctionnementinvariant dans le temps. Cela rend impossible la visualisation des effets decomposants RF non-linaires comme les mlangeurs par exemple.

    Pour pallier ces problmes, la mise en quation des grandeurs lectriques des circuits RFreposent principalement et selon les simulateurs, sur deux concepts diffrents :lquilibrage harmonique et la mthode de shooting . Ces deux mthodes sont

    prsentes dans [Kundert-05]. Elles rendent possibles les deux analyses indispensables ltude des circuits RF : lanalyse priodique ou quasi-priodique et lanalyse transitoire delenveloppe.

    Lanalyse priodique ou quasi-priodique reprsente en quelque sorte lextension delanalyse DC classique au cas des circuits RF. Ainsi, la rponse en rgime permanent estobtenue laide dun ou de plusieurs stimuli priodiques en entre du circuit. Cette analyseest efficace ds lors quil sagit de visualiser le spectre dun signal, notamment si cedernier fait intervenir plusieurs frquences. Elle permet, par exemple, dtudier lesdistorsions dues un mlangeur ou le point de fonctionnement dun amplificateur RF. LaFig.2.6 illustre les reprsentations frquentielles et temporelles de deux simulations

    obtenues laide dune analyse priodique (Steady State) et celle obtenue laide dunesimulation transitoire (Transient). Nous y remarquons que lanalyse priodique neconcerne que les signaux sinusodaux alors que lanalyse transitoire gre tout type designaux. Cette dernire ncessite cependant des temps de simulations beaucoup plus long.

    tel00389776,v

    ersion1

    29May2009

  • 7/29/2019 RFID_RCS

    23/128

    Flot de conception des systmes mixtes et RF

    21

    Fig.2.6. Analyse priodique et analyse transitoire [Kundert-05]La deuxime analyse ncessaire ltude des circuits RF est lanalyse transitoire delenveloppe. En effet, elle permet de remdier aux limites dune analyse transitoireclassique pour ltude des signaux moduls, surtout si le signal modulant nest passinusodal, mais plutt proche dun signal carr. Grossirement, nous pouvons dire quecette mthode consiste en la combinaison dune analyse transitoire de lenveloppe autourdune analyse pseudo-priodique. Le temps de simulation sera donc peu prs gal celuidune analyse priodique simple multipli par le nombre de points ncessaire ladescription de lenveloppe, ce qui est largement plus efficace quune analyse transitoireclassique. Ainsi, il est possible de simuler temporellement une transmission numrique etdonc par exemple de visualiser les distorsions dues lintermodulation ou le temps de

    monte dun signal en phase transitoire.Les logiciels les plus courants permettant la simulation RFIC sont le flot ADS 2003 CdAgilent Technologies, la Suite Ansoft Designer dAnsoft, Microwave Office dAWR,Spectre RF de Cadence et ELDO RF de Mentor GraphiCs.

    2.3.1.4 Simulation lectromagntiqueTraditionnellement, la conception des composants RF relve aussi de la mthode Bottom-Up comme pour les circuits analogiques, sauf que le point de dpart se situe souvent auniveau physique. En effet, les composants RF se doivent dtre tudis dun point de vuelectromagntique et non plus simplement lectrique comme en basse frquence,notamment pour les composants distribus. Cela implique des rgles de conceptiontotalement diffrentes de ceux de llectronique analogique classique.

    Les simulateurs lectromagntiques (EM) sont des moteurs permettant la rsolution desquations de Maxwell aux sommets de polygones rguliers qui dfinissent la gomtrie dela structure tudier. La densit du maillage ainsi ralis influe directement sur laprcision de la simulation. Nous distinguons les simulateurs EM 3D qui permettent ltudecomplte dune structure physique quelconque. Cette famille de simulateurs donne desrsultats trs prcis mais ncessite des temps de calcul trs importants. Plus rapides maiscependant moins prcis, les simulateurs EM 2D se contentent du calcul des champslectromagntiques la surface dune structure. Ces derniers sont largement utiliss car ilspermettent aisment lextraction des paramtres S ncessaire ltude frquentiel dun

    tel00389776,v

    ersion1

    29May2009

  • 7/29/2019 RFID_RCS

    24/128

    Chapitre II

    circuit RF. Notons enfin une dernire catgorie de simulateurs dits EM 2.5D, capables deprendre en compte lpaisseur dune structure dans le cas o celle-ci est homogne,permettant ainsi de prendre en considration des phnomnes comme leffet de peau parexemple.

    Les diteurs les plus connus de ce type de simulateurs sont CST Microwave Studio,Ansoft, Agilent Technologies et AWR.

    2.3.1.5 Environnement de simulation mixte et RFDe la mme manire que pour les mthodes de conception prsentes en 0, les outils desimulation traditionnellement utiliss par les concepteurs lectroniques diffrent selon quelon travaille dans le domaine numrique, analogique ou RF et selon le niveau dedescription. La figure suivante permet de rpertorier les familles de simulateurs prsentesdans les paragraphes prcdents et de spcifier leur utilisation au sein du flot de conceptiondes systmes mixtes et RF.

    Niveau systme

    Niveau comportemental

    Niveau circuit

    Niveau Layout

    Simulateurssystme

    Niveaux de descriptions Familles de simulateurs

    Simulateurspour signauxmixtes et RF

    SimulateursCircuit

    SimulateursLayout

    Niveau systme

    Niveau comportemental

    Niveau circuit

    Niveau Layout

    Simulateurssystme

    Niveaux de descriptions Familles de simulateurs

    Simulateurspour signauxmixtes et RF

    SimulateursCircuit

    SimulateursLayout

    Fig.2.7. Utilisation des diffrentes familles de simulateurs dans le flot de conception mixte et RF

    [MSRF-05]

    Sur la Fig.2.7, nous remarquons que pour chaque niveau de description il existe unefamille de simulateurs ddie. Mais certains de ces simulateurs peuvent parfois supporterun deuxime niveau de description en plus du niveau auquel ils sont principalement ddis.Or, pour rpondre aux besoins du flot de conception mixte et RF, plusieurs diteurs desimulateurs proposent aujourdhui des environnements de simulation intgrant lesdiffrents types de simulation prsents ci-dessus. Nous relevons trois principauxenvironnements de simulation mixte et RF: lenvironnement RFDE issu de lalliance entreCadence et Agilent, lenvironnement ADMS RF de Mentor GraphiCs et la Suite AnsoftDesigner de la socit Ansoft.

    Parmi ces trois plates-formes de simulation, deux sont issues des gants de ldition de

    logiciels de simulation numrique et analogique : Cadence et Mentor GraphiCs. Quant lditeur Ansoft , il est avant tout spcialiste de la simulation lectromagntique. Le

    tel00389776,v

    ersion1

    29May2009

  • 7/29/2019 RFID_RCS

    25/128

    Flot de conception des systmes mixtes et RF

    23

    tableau.2.1, extrait de ltude comparative prsente en [Gauthier-04], permet de comparerles capacits de chacun de ces environnements.

    EditeurNom du

    logiciel

    Outil de

    schmatique

    Simulateur

    EM

    Simulateur

    frquentiel

    Simulateur

    temporel

    Outils de

    mesures

    Placement

    routage

    SupportVHDL-

    AMS

    AnsoftSuite

    AnsoftDesigner

    Oui Oui OuiOui

    (limit)Oui Oui Oui

    Cadence etAgilent

    TechnologiesFlot RFDE Oui Oui Oui Oui Oui Oui Oui

    MentorGraphics

    ADMS RF Oui Non Oui Oui Non Oui Oui

    tableau.2.1. Tableau comparatif des trois environnements de simulationDaprs le tableau.2.1, nous constatons que les trois plates-formes prcites permettent de

    couvrir les trois principaux niveaux hirarchiques que sont le niveau systme, le niveaucomportemental et le niveau circuit. En ce qui concerne le niveau Layout, lavantage vaassurment aux produits de Cadence et Mentor GraphiCs pour les domaines numrique etanalogique. De plus, lalli de Cadence, lditeur Agilent, permet au produit RFDE desimuler des circuits RF au niveau physique, alors quADMS RF de Mentor GraphiCs nepropose aucune solution de simulation lectromagntique. Pour Ansoft, les simulationslectromagntique et RFIC sont trs performantes mais les simulations numrique etanalogique basse frquence restent limites aux fonctions de base. Notons que cet diteurpermet depuis 2005 la simulation de modles dcrits en VHDL-AMS. Enfin, il est remarquer que la tendance actuelle des diteurs denvironnements de simulation mixtes et

    RF est lincorporation en leur sein doutils dacquisition. Par exemple, Agilent proposede combiner la simulation avec la mesure de circuits RF, ce qui ajoute une dimensionsuprieure aux techniques de validation.

    En conclusion, nous pouvons dire que depuis 2003, les concepteurs de systmes mixtes etRF disposent denvironnements de simulation qui permettent ltude simultane desdiffrentes parties dun systme complexe et cela, pour plusieurs niveaux dabstraction.Afin de profiter au maximum de ces diffrentes possibilits de simulation, ladoption dunlangage de description standard et multi-niveaux est essentielle.

    2.3.2Langages de modlisation des systmes mixtes et RFLe choix dun langage de modlisation dpend, comme pour le choix dun simulateur, dela nature de lobjet modliser ainsi que du niveau dabstraction souhait pour le modle.Nous distinguons trois grandes familles de langages qui interviennent dans la conceptiondes systmes complexes : les langages de programmation orients objet, les langagesmathmatiques explicites et les langages de description matrielle. Dans le cadre de notretude, nous nous limiterons la prsentation des langages de description matrielle. Cesten effet cette dernire famille de langage qui nous intresse, car elle concerne plusspcifiquement les niveaux dabstractions lis notre problmatique. Cependant, au deldu cadre de notre tude, la mthodologie de conception des systmes complexes sorientevers le dveloppement conjoint logiciel/matriel (ou Co-Design). Cest ce niveau

    dabstraction, encore suprieur ceux que nous avons cits dans la section relative laprsentation du flot de conception Top-Down des systmes mixtes et RF (section 2.2.2),

    tel00389776,v

    ersion1

    29May2009

  • 7/29/2019 RFID_RCS

    26/128

    Chapitre II

    que se rapporte la famille des langages de programmation orients objet. Notons que cettejonction logiciel/matriel est souvent dcrite la fois au niveau systme et au niveauarchitecture. Cest pourquoi, en plus des langages mathmatiques comme Matlab quiconcernent de manire spcifique le niveau dabstraction systme, de nouveaux langages intermdiaires ont rcemment fait leur apparition comme SystemC et SystemC-AMS

    [SystemC-http]. Ces derniers prsentent lavantage dtre totalement compatible avec le C,langage de rfrence pour la description dalgorithmes, tout en permettant une descriptionmatrielle [Gauthier-04].

    Ainsi, pour rpondre aux exigences du flot de conception des systmes complexes, de plusen plus de langages permettent la description simultane de plusieurs niveaux,gnralement des niveaux hirarchiquement adjacents. Cest aussi le cas des langages dedescription matrielle (ou HDL pour Hardware Description Language). En cho laFig.2.7 qui illustre les champs dutilisation des diffrentes familles de simulateurs, letableau.2.2 prsente de manire simplifie les domaines dutilisation des langages demodlisation les plus populaires.

    Domaine

    AbstractionLogiciel Numrique Analogique RF Multi-physique

    SpcificationsSystem C

    Matlab (limit)

    System C

    Matlab

    System C-AMS(limit)

    Matlab

    Matlab Matlab

    Niveau systme

    System C

    Matlab

    VHDL-AMS

    System C-AMS(limit)

    Matlab

    VHDL-AMS

    Matlab

    VHDL-AMS

    Matlab

    VHDL-AMS

    (limit)

    Niveau architecture

    System C (limit)

    Matlab (limit)

    VHDL-AMS

    System C-AMS(limit)

    Matlab (limit)

    VHDL-AMS

    Matlab (limit)

    VHDL-AMS

    Matlab

    VHDL-AMS

    Niveau circuit

    VHDL-AMS(possibilits du

    VHDL)

    VHDL-AMS

    SPICE

    VHDL-AMS(limit)

    SPICE RF

    tableau.2.2. Domaines de validits de diffrents langages de modlisationIl apparat, daprs le tableau.2.2, quil nexiste pas de langage de modlisation universel.Les concepteurs doivent donc choisir les langages adapts aux diffrentes tapes du flot deconception. La suite de cette section est consacre la prsentation des langages VHDL etVHDL-AMS qui se retrouvent ainsi au cur du flot de conception des systmes mixtes etRF. Enfin, nous exposerons les principales possibilits quils permettent ainsi que leurslimites.

    2.3.2.1 VHDLLe VHDL (Very High Speed Integrated Circuits Hardware Description Language)[VHDL-http] est un langage standard de description de matriels permettant de dcrire etde simuler le comportement et la structure de circuits numriques. Ce langage est devenustandard en 1987, cest la norme IEEE 1076-1987. Il est communment utilis lors de la

    tel00389776,v

    ersion1

    29May2009

  • 7/29/2019 RFID_RCS

    27/128

    Flot de conception des systmes mixtes et RF

    25

    conception dASICs ou dautres circuits numriques intgrs.

    Le langage VHDL possde plusieurs spcificits qui le rendent difficilement comparableaux langages classiques : il possde certains attributs des langages haut-niveau , etcest un langage de description textuelle avec un aspect schmatique implicite. Sesprincipaux avantages sont sa compltude (utilisation tout au long du flot de conceptionnumrique) et son indpendance par rapport la cible et au fondeur (cette donnenintervient qu la fin du flot de conception). Ce dernier point est trs important car ilimplique des notions de rutilisation (Reuse) et de proprit intellectuelle (IP) : un sous-systme convenablement crit en VHDL peut tre rutilis et intgr dans la descriptiondun autre systme. Comme nous lavons expliqu dans la section 2.2.1.2, le Reuse permet de rduire considrablement les temps de conception.

    La syntaxe VHDL est assez particulire, elle est organise autour de deux lments debase : le couple Entit/Architecture :

    - Lentit dun modle est la description de linterface de ce dernier avec son

    environnement extrieur. Dans cette partie, le type des signaux (bit, boolen) etleur sens lectrique (entre, sortie, entre/sortie) sont spcifis.

    - Larchitecture dun modle est la description de son fonctionnement interne. Cestl que sont tablies les relations entre les diffrents signaux spcifis dans lentit.Ces relations peuvent correspondre diffrents niveaux de descriptions. Une entitpeut donc avoir plusieurs architectures diffrentes.

    Un modle ayant une entit et une architecture peut tre utilis comme un composantlmentaire, et donc tre utilis pour dcrire un autre composant ou systme.

    De part son caractre adapt au flot de conception des circuits numriques, le VHDL

    permet daccompagner ce flot dans chacune de ces tapes. Naturellement ce langage a tractualis plusieurs fois. Son succs a conduit llaboration dune extension permettantla conception de systmes analogiques.

    2.3.2.2 VHDL-AMS : langage de description des systmes mixtesLe VHDL-AMS est lextension du langage VHDL pour les circuits analogiques et mixtes.Il a t adopt comme norme par lIEEE en 1999 [VHDL-AMS-http]. Cest un langage deconception qui permet lapproche Top-Down (2.2.2) pour ce type de circuits. En plus despossibilits du VHDL, cette extension permet de dcrire des comportements continus. Eneffet, VHDL-AMS ajoute (au VHDL) les instructions simultanes permettant de

    manipuler des valeurs temps continu stockes dans des variables appels quantits(QUANTITY) et un mcanisme de synchronisation des noyaux de simulation numriqueset analogiques [Herv-02].

    De plus, pour chacune des quantits, leurs drives et intgrales peuvent tre calcules.Ainsi, les quantits peuvent tre lies entre elles par des quations diffrentielles, et celasous la forme dinstruction simultanes.

    De plus, ce langage inclut la notion de TERMINAL pour la conservation de lnergie.En lectronique, les terminaux correspondent aux nuds des composants par lesquelstransitent des quantits comme le courant et la tension. Ils obissent aux lois de Kirchhoff.

    Il est aussi possible de dcrire des systmes conservatifs autres que les systmeslectriques, comme les systmes mcaniques, thermiques ou hydrauliques. Cela implique

    tel00389776,v

    ersion1

    29May2009

  • 7/29/2019 RFID_RCS

    28/128

    Chapitre II

    la manipulation de terminaux de natures diffrentes, cest--dire associs des quantitsautres que courant et tension : par exemple, la vitesse et la force, en mcanique.

    2.3.2.3 Limites du langage VHDL-AMSPour notre problmatique, les deux principales limitations techniques du VHDL-AMS

    concernent limpossibilit de dcrire des composants dans le domaine frquentiel etlimpossibilit dcrire des quations drives partielles.

    En effet, bien quil soit possible de dcrire des quations diffrentielles dans le domainefrquentiel en VHDL-AMS, il est impossible dy faire intervenir des instructionssquentielles. Cette limitation a pouss un diteur priv dvelopper une extensionappele VHDL-FD (FD pour Frequency Domain) au VHDL-AMS [Rincon-http].Malheureusement, cette extension frquentielle nest pas normalise et nest doncsupporte par aucun autre simulateur que celui de cet diteur. Notons enfin quun groupede travail IEEE tente de dvelopper une extension standard pour la description dessystmes Radio Frquence, appele VHDL-RF/MW (pour Radio Frequency/MicroWaves), mais cette entreprise cense aboutir en 2004, na toujours pas t adopte [VHDL-RF-http].

    Concernant lcriture des quations drives partielles, le VHDL-AMS ne permet pas lamodlisation directe de ce type dquation, ce qui rend difficile les modlisationsgomtriques. Seules les drives temporelles sont acceptes par VHDL-AMS [Herv-02][Vachoux-http].

    Enfin, labsence de simulateur normalis ajoute une difficult supplmentaire lutilisation du langage VHDL-AMS. Daprs [Vachoux-http], il existe encore desdiffrences sensibles en terme de performances temporelles entre les diffrents outils de

    simulation supportant le VHDL-AMS.

    2.4 La modlisation comportementaleLes considrations exposes dans la section 2.2 dmontrent limportance de la simulationcomplte dun systme mixte et RF pour la mise en uvre du flot de conception Top-Down. Celle-ci est rcemment rendue possible grce aux environnements de simulation etaux langages de modlisation que nous avons prsents dans la section 2.3. Ainsi, ledveloppement dIPs, surtout pour les parties analogiques et RF, est aujourdhui uneproccupation majeure des concepteurs de ce type de systmes htrognes [Nikitin-1-06]

    [Snaidero-04] [Mentor-Gu] [Descleves-05]. Ce besoin concerne plus particulirement leniveau de modlisation comportemental parce que ce dernier reprsente la premiredescription diffrencie (en parties numrique, analogique ou autre) du systme global (cf.Fig.2.5). De ce fait, le niveau comportemental a une position centrale dans le flot deconception des systmes mixtes et RF, indispensable la phase de conception descendantecomme la phase de validation ascendante.

    Daprs [Vachoux-http], un modle comportemental peut tre dfini comme tant unereprsentation abstraite ou une description dun systme physique dont on ne conserve queles aspects essentiels une certaine utilisation. Ces aspects ou comportements exprimentdes relations de cause effet, des algorithmes, des processus ou des quations dfinissantdes relations entre variables qui reprsentent des grandeurs physiques dentres/sorties.Ainsi, un modle comportemental doit rpondre aux exigences suivantes [Vachoux-http]

    tel00389776,v

    ersion1

    29May2009

  • 7/29/2019 RFID_RCS

    29/128

    Flot de conception des systmes mixtes et RF

    27

    [Herv-02] [MSRF-05] :

    - Une description fidle des comportements choisis pour la reprsentation du systmelorsque celui-ci est soumis aux stimuli relatifs son utilisation.

    - Une simulation rapide et fiable pour les diffrentes conditions dutilisation et les

    diffrents modes de simulation.- Une compatibilit des nuds dentres/sorties avec les autres composantes du

    systme global.

    - Une transportabilit du modle pour permettre sa r-utilisation en tant quIP. Celaimplique lutilisation dun langage de description standard et la possibilitdadapter le modle dautres utilisations similaires, par lintermdiaire deparamtres gnriques.

    En rsum, lobtention dun modle comportemental doit raliser un compromis entrerapidit de simulation et prcision de la description. Ce compromis dpend des conditions

    dutilisation du modle telles quelles sont dfinies par les plans de modlisation et devalidation labors par le concepteur (cf. 2.2.2.1). Ainsi, si le modle est destin treutilis lors de la phase de conception descendante (Top-Down), la rapidit de la simulationprime gnralement sur la prcision de la description. Pour la phase de validationascendante, cest un modle extrait qui est utilis (cf. 2.2.2.5) [Hartung-03][Kundert-99].Ces deux types dutilisation impliquent deux mthodes diffrentes pour lobtention desmodles comportementaux [FakhFakh-02] [MSRF-05]:

    - Une approche ascendante o les modles sont extraits partir dune descriptionhirarchiquement infrieure.

    - Une approche descendante o les modles sont gnriques et principalementdestins aux premires phases du flot de conception.

    Dans la suite de cette section, nous prsenterons brivement ces deux approches classiquespour ensuite exposer une troisime mthode intermdiaire, inspire des deux premires,que nous utiliserons pour rpondre la problmatique gnrale de notre tude : lamodlisation comportementale dun systme didentification par radio frquence (RFID).

    2.4.1 Obtention dun modle comportemental par la mthode ascendanteLa mthode ascendante consiste en llaboration dun modle comportemental en partantdun systme existant ou dune modlisation de niveau infrieur. Les modles ainsi

    obtenus sont surtout destins tre utiliss lors de la phase de validation dun systmecomplexe. En effet, ils doivent permettre une simulation bien plus rapide quunedescription niveau transistor par exemple, tout en gardant les caractristiques essentiellesdu circuit modlis.

    Pour les systmes ou circuits analogiques, deux types dextraction sont classiquementenvisags [Phillips-03]:

    - Lexploitation dune description au niveau transistor. Elle consiste en la rductiondu systme dquations qui caractrise le circuit. Cette simplification doit permettreune simulation plus rapide du systme, tout en gardant ses caractristiques de

    transfert (entre les variables dentres et de sorties) un niveau de prcisionacceptable [Casinovi-91] [Boyle-74] [Fakhfakh-02].

    tel00389776,v

    ersion1

    29May2009

  • 7/29/2019 RFID_RCS

    30/128

    Chapitre II

    - Lexploitation des rsultats de simulation du modle au niveau transistor. Dans cecas, la modlisation consiste en la recherche de fonctions mathmatiquesapproches, permettant de dcrire les variables de sorties[Fakhfakh-02].

    Pour les composants et circuits RF, lobtention des modles comportementaux provient detrois sources principales [Root-03]:

    - Lexploitation des rsultats de simulations lectromagntiques (cf. section 2.3.1.4)[MSRF-05].

    - Lexploitation de mesures ralises sur un composant RF existant [Ku-02].

    - Lextraction dquations simplifies partir de schmas lectriques quivalents auxcomposants RF modliser [MSRF-05] [Johanon-06].

    Notons que les modles de composants RF prennent gnralement en compte descomportements hautement non-linaires ce qui ncessite des techniques dextractioncomplexes dont les principales sont examines et compares dans [Root-03].

    La complexit des modles obtenus dpend du niveau de prcision requis ainsi que de lanature des comportements que lon dsire modliser. Ces comportements, extraits dunereprsentation de niveau infrieur, ne permettent gnralement pas lobtention de modles paramtres gnriques. De plus, vu que ces modles dpendent dune technologiedonne, la possibilit de les rutiliser pour une conception diffrente se retrouvegrandement limite.

    2.4.2 Obtention dun modle comportemental par la mthode descendanteLa deuxime famille de modles comportementaux est compose de modles dits

    gnriques, car gnralement dcrits indpendamment dun composant ou circuit derfrence. Lapproche qui conduit lobtention de ce type de modle a pour base lafonction premire de lobjet modliser. Cette dmarche prsente lavantage de produiredes modles plus rapides simuler, plus paramtrables et donc plus transportables queceux obtenus par la mthode ascendante prsente ci-dessus [Milet-Lewis-01]. Nanmoins,la prcision des rsultats de simulation est amoindrie.

    Lobtention de ce type de modle est assez intuitive. Une mthodologie systmatique a tpropose par [FakhFakh-02]. Elle propose de dcomposer le modle en trois partiescomme indiqu sur la Fig.2.8.

    Fig.2.8. Structure fondamentale dun modle obtenu grce lapproche systmatique[Fakhfakh-02]

    tel00389776,v

    ersion1

    29May2009

  • 7/29/2019 RFID_RCS

    31/128

    Flot de conception des systmes mixtes et RF

    29

    Lobjectif est de mettre en relation les entres/soties en fonctions des paramtresgnriques et de la caractristique de transfert. Pour cela, le modle doit dtecter lesvariables dentres, appliquer la fonction de transfert qui permet de calculer les paramtresde sorties et enfin, gnrer les signaux de sorties.

    Ltape de dtection des variables dentres permet disoler la ou les composantes dusignal dentre sur lesquelles sapplique la fonction de transfert. Cest par exemple latension ou le courant en entre, lamplitude dun signal, sa frquence ou sa phase. Ladeuxime tape consiste en la modlisation de la relation entre les paramtres dentresdtects et les paramtres de sorties. Cette relation peut tre mathmatique, algorithmiqueou compose de tout autre type dinstructions que permet le langage de modlisation. Elledoit videmment prendre en compte les paramtres gnriques du modle. Enfin, ladernire tape doit assurer la gnration des signaux de sorties en fonction desmodifications survenues lors de ltape prcdente.

    Cette famille de modles comportementaux est destine tre utilise lors des premires

    phases du flot de conception Top-Down (cf. 2.2.2.2). Le caractre gnrique de cesmodles leur permet de constituer des bibliothques dIP rutilisables pour diffrentsprojets de conception de systmes mixtes et RF. Il existe dailleurs plusieurs organismesqui partagent leurs modles comportementaux comme lassociation BEAMS [BEAMS-http], les bibliothques Commlib et Commlib RF de Mentor Graphics, la bibliothquefournie par Cadence ou celle de linstitut Fraunhofer de Dresde [MSRF-05].

    2.4.3 Obtention dun modle comportemental par la mthode meet in themiddle

    La mthode dite meet in the middle permet de raliser un compromis entre les deux

    approches prcdentes. En effet, il se peut que le plan de modlisation impose auconcepteur lobservation de plusieurs comportements dun mme composant ou sous-systme des niveaux de prcisions diffrents. Le choix du niveau de prcision requis pources comportements reprsente dailleurs la problmatique principale du plan demodlisation. Llaboration dun tel modle doit videmment tenir compte des possibilitsde modlisation offertes par le langage utilis mais aussi des possibilits des simulateursdisponibles.

    2.5 ConclusionDans ce chapitre, nous avons prsent les grandes lignes de la mthodologie de conceptionTop-Down des systmes mixtes et RF qui simpose aujourdhui aux concepteurs. Nousavons ensuite prsent les outils de simulation et les langages de modlisation quipermettent sa mise en oeuvre. Ces considrations nous ont permis de mettre la lumire surune tape clef de ce flot de conception, la modlisation comportementale. Enfin, un breftat de lart des techniques de modlisation comportemental a t dress.

    Dans le la suite de notre tude, nous montrerons les implications de cette mthode sur laconception dun systme didentification par radio-frquence dans le cadre de notrecollaboration avec le CEA-LETI pour le projet MIMOSA (cf. Introduction). Pour cela,nous prsenterons dans le chapitre suivant, les particularits des systmes RFID ainsi queles besoins en modlisation comportementale quelles impliquent.

    tel00389776,v

    ersion1

    29May2009

  • 7/29/2019 RFID_RCS

    32/128

    Chapitre II

    tel00389776,v

    ersion1

    29May2009

  • 7/29/2019 RFID_RCS

    33/128

    Systmes RFID UHF et micro-ondes

    31

    3. CHAPITRE III :SYSTEMES RFIDCHAPITRE III

    SYSTEMES RFIDUHF ET MICRO-ONDES

    3.3.

    3.1 IntroductionLobjectif de ce chapitre est de prsenter un exemple de systme mixte et RF dont la

    conception sinscrit compltement dans la mthodologie expose dans le chapitreprcdent. Les systmes didentification par radio frquence ou RFID (Radio FrequencyIDentification) constituent pour cela un point de rencontre entre plusieurs domainestechnologiques : rencontre entre les technologies radar, la microlectronique et lestlcommunications.

    Dans ce chapitre, une introduction gnrale aux systmes RFID sera tout dabord prsentedans la section 3.2. La section 3.3 est quant elle ddie ltat de lart des architec